freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

汽車尾燈控制電路的pld實現(xiàn)課程設(shè)計-資料下載頁

2025-06-27 11:47本頁面
  

【正文】 (shche=39。139。) thenq=111111。elsif(shche=39。039。 )thenq=000000。end if。end if。light=q。end process。end。左轉(zhuǎn)模塊的設(shè)計思路如下圖 所示。圖 左轉(zhuǎn)模塊設(shè)計圖其中,ZY 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。CLK是脈沖控制輸入信號。LIGHT[5…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入循環(huán)(001—010—100—001—010—100)。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 24 頁 共 29 頁 圖 左轉(zhuǎn)模塊狀態(tài)循環(huán)圖右轉(zhuǎn)模塊的設(shè)計思路如下圖 所示。圖 右轉(zhuǎn)模塊設(shè)計圖其中,YY 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。CLK 是脈沖控制輸入信號。LIGHT[5…0]是汽車尾燈顯示輸出信號,高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入循環(huán)(100—010—001—100—010—001) 。狀態(tài)循環(huán)如下 圖所示。圖 右轉(zhuǎn)模塊狀態(tài)循環(huán)圖 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 25 頁 共 29 頁 4 汽車尾燈控制器的仿真 控制模塊的仿真將程序使用 Max Plus II 進(jìn)行運行并仿真,得到控制模塊仿真圖像如 所示。圖 控制模塊仿真圖上圖仿真結(jié)果顯示:top_zuoyi 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。top_youyi 是右轉(zhuǎn)彎控制輸入信號,高電平有效。top_shache 是剎車控制輸入信號,高電平有效。top_jiancha 是檢查控制輸入信號,高電平有效。top_clk 是脈沖控制輸入信號。top_light5 至 top_light0 是汽車尾燈顯示輸出信號,高電平有效。由仿真圖像可知,控制模塊運行正常。 檢查模塊的仿真將程序使用 Max Plus II 進(jìn)行運行并仿真,得到檢查模塊仿真圖像如 所示。圖 檢查模塊仿真圖上圖仿真結(jié)果顯示:jiancha 是檢查控制輸入信號,高電平有效,clk 是脈沖周期信號。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 26 頁 共 29 頁 light 是汽車尾燈顯示輸出信號,高電平有效。尾燈顯示閃爍由全亮到全暗再到全亮循環(huán), (000000111111 —000000—111111—000000)又仿真圖像可知,檢查模塊運行正常。 剎車模塊的仿真將程序使用 Max Plus II 進(jìn)行運行并仿真,得到剎車模塊仿真圖像如 所示。圖 剎車模塊仿真圖上圖仿真結(jié)果顯示:shache 是剎車控制輸入信號,高電平有效。clk 是脈沖周期信號。light 是汽車尾燈顯示輸出信號,高電平有效。尾燈顯示由暗到長時間全亮直到控制信號變?yōu)榈碗娖绞亲儼怠?(000000—111111—000000)由仿真圖像可知,剎車模塊運行正常。 左轉(zhuǎn)模塊的仿真將程序使用 Max Plus II 進(jìn)行運行并仿真,得到左轉(zhuǎn)模塊仿真圖像如 所示。圖 左轉(zhuǎn)模塊仿真圖上圖仿真結(jié)果顯示:zy 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。lk 是脈沖周期信號。light 是汽車尾燈顯示輸出信號,高電平有效。尾燈顯示由左邊三個燈依次向左循環(huán)閃爍,右邊三個燈保持暗狀態(tài)。 (000—001—010—100—001—010—100)由仿真圖像可知,左轉(zhuǎn)模塊運行正常。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 27 頁 共 29 頁 右轉(zhuǎn)模塊的仿真將程序使用 Max Plus II 進(jìn)行運行并仿真,得到右轉(zhuǎn)模塊仿真圖像如 所示。圖 右轉(zhuǎn)模塊仿真圖上圖仿真結(jié)果顯示:yy 是左轉(zhuǎn)彎數(shù)控制輸入信號,高電平有效。lk 是脈沖周期信號。light 是汽車尾燈顯示輸出信號,高電平有效。尾燈顯示由右邊三個燈依次向右循環(huán)閃爍,左邊三個燈保持暗狀態(tài)。 (000—100—010—001—100—010—001)由仿真圖像可知,右轉(zhuǎn)模塊運行正常。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 28 頁 共 29 頁 5 小 結(jié)本課題要求基于可編程邏輯器件,使用硬件描述語言 VHDL 編寫一個汽車尾燈控制器芯片,并用 Max Plus II 軟件進(jìn)行仿真。根據(jù)自己對汽車尾燈的理解我設(shè)計了四大功能:一是檢查功能,二是剎車功能,三是左轉(zhuǎn)功能,四是右轉(zhuǎn)功能。由此必需設(shè)計出五個大的模塊:一是控制模塊,此模塊是總的控制系統(tǒng),將其它模塊的功能融合在一起,便于進(jìn)行集中的控制和操作;二是檢查模塊,此模塊是一個分模塊,主要功能是對在汽車尾燈整個工作的過程中,在任一狀態(tài)的任一時刻,檢查汽車自身是否處于正常狀態(tài),各電路系統(tǒng)和車身安全是否良好。三是剎車模塊,此模塊也是一分模塊,主要功能是當(dāng)駕駛?cè)藛T遇險需要剎車踩下剎車鍵時,尾燈有規(guī)律閃爍,提示后面駕駛?cè)藛T和車輛注意。四是左轉(zhuǎn)模塊,主要功能是當(dāng)駕駛?cè)藛T需要轉(zhuǎn)彎按下左轉(zhuǎn)彎鍵時,尾燈有規(guī)律閃爍,提示后面駕駛?cè)藛T和車輛注意。五是右轉(zhuǎn)模塊,此模塊也是一分模塊,主要功能是當(dāng)駕駛?cè)藛T需要轉(zhuǎn)彎按下右轉(zhuǎn)彎鍵時,尾燈有規(guī)律閃爍,提示后面駕駛?cè)藛T和車輛注意。在整個電路設(shè)計完畢并仿真成功后發(fā)現(xiàn),其實整個電路設(shè)計實現(xiàn)的功能還是比較實用和易于操作的,而自己也為此付出了許多:從根據(jù)課題要求查找相關(guān)資料,學(xué)習(xí)硬件語言,到自己能夠獨立編寫小程序;從對 Max Plus II 軟件的摸索,一次次修改程序,到仿真得到較滿意的結(jié)果;從對截圖工具的搜索下載,論文資料的搜集,到文字排版的學(xué)習(xí)。在設(shè)計過程中遇到了很多困難,在指導(dǎo)老師的指引和同學(xué)的幫助下,通過不斷探索學(xué)習(xí),使問題得到了一定的解決。終于使汽車尾燈控制器的控制,檢查,剎車,右轉(zhuǎn)等基本功能都實現(xiàn)了,美中不足的是汽車尾燈的各個仿真結(jié)果都有一定的延時。通過本學(xué)期課程設(shè)計的學(xué)習(xí),我從中學(xué)習(xí)到了很多東西,對可編程邏輯器件,VHDL 語言, Max Plus II 軟件有了一定的了解,尤其是用 VHDL 語言編程和仿真。在本次設(shè)計中最大的收獲是在不斷地發(fā)現(xiàn)問題,分析問題,解決問題的過程中培養(yǎng)了自己的科研能力,為今后的學(xué)習(xí)工作做了一個良好的鋪墊。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 29 頁 共 39 頁 參考文獻(xiàn)[1]王道憲.VHDL 電路設(shè)計技術(shù)[M] . 北京:國防工業(yè)出版社 .[2]劉瑞.VHDL 語言與 FPGA 設(shè)計[M]. 北京:機械工業(yè)出版社 .[3]王振紅.VHDL 數(shù)字電路設(shè)計與應(yīng)用實踐教程[M]. 北京:機械工業(yè)出版社 2022.[4]潘松,王國棟.VHDL 實用教程[M] . 西安:電子科技大學(xué)出版社 .[5]王毓銀.?dāng)?shù)字電路邏輯設(shè)計[M].北京:高等教育出版社,.[6]王道憲.CPLD/FPGA 可編程邏輯器件應(yīng)用與開發(fā)[M] .北京:國防工業(yè)出版社,.[7]王道憲,賀名臣,劉偉.VHDL 電路設(shè)計技術(shù)[M].北京:國防工業(yè)出版社,.[8]趙鑫,蔣亮.VHDL 與數(shù)字電路設(shè)計[M] .北京:機械工業(yè)出版社,. 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 30 頁 共 29 頁 附錄:設(shè)計源程序清單// 程序名稱:汽車尾燈控制電路的 PLD 實現(xiàn)// 程序作者:桂忱// 最后修改日期:20221210檢查模塊程序library ieee。use 。entity jiancha isport(jiache ,clk: in std_logic。light: out std_logic_vector(5 downto 0))。end。architecture shift_1 of jiancha issignal q: std_logic_vector(5 downto 0)。beginprocess(clk, jiache)beginif (jiache=39。039。) thenq=000000。elsif(clk event and clk=39。139。) thenq(5)=not q(5)。q(4)=not q(4)。q(3)=not q(3)。q(2)= not q(2)。q(1)= not q(1)。q(0)= not q(0)。end if。end process。light=q。end。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 31 頁 共 29 頁 剎車模塊的程序library ieee。use 。use 。entity shache isport(shche,clk: in std_logic。light: out std_logic_vector(5 downto 0))。end。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。beginprocess(clk, shche)beginif clk39。event and clk=39。139。 thenif (shche=39。139。) thenq=111111。elsif(shche=39。039。 )thenq=000000。end if。end if。light=q。end process。end。左轉(zhuǎn)彎模塊的程序library ieee。use 。entity zuoyi isport( clk,zy : in std_logic。light : out std_logic_vector(5 downto 0))。end zuoyi。 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 32 頁 共 29 頁 architecture behave of zuoyi istype states is (s0 ,s1,s2)。signal current_state,next_state : states。signal light1 : std_logic_vector(2 downto 0)。begin : process(current_state,zy )begincase current_state iswhen s0=if zy=39。139。 thenlight1=001。next_state=s1。elselight1=000。 next_state=s0。end if。when s1=if zy=39。139。 thenlight1=010。next_state=s2 。elselight1=000。 next_state=s0 。end if。when s2=light1=100。next_state=s0 。end case。end process。light(5)=light1(2)。light(4)=light1(1)。light(3)=light1(0)。light(2)=39。039。light(1)=39。039。light(0)=39。039。synch: process(clk)begin 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 33 頁 共 29 頁 if(clk39。event and clk=39。139。 ) thencurrent_state=next_state。end if。end process。end behave。右轉(zhuǎn)彎模塊的程序library ieee。use 。entity youyi isport( clk,yy : in std_logic。light : out std_logic_vector(5 downto 0))。end youyi。architecture behave of youyi istype states is (s0 ,s1,s2)。signal current_state,next_state : states。signal light1 : std_logic_vector(2 downto 0)。begin : process(current_state,yy)begincase current_state iswhen s0=if yy=39。139。 thenlight1=100。next_state=s1。elselight1=000。 next_state=s0。end if。when s1=if yy=39。139。 thenlight1=010。next_state=s2 。else 桂忱 汽車尾燈控制電路的 PLD 實現(xiàn) 第 34 頁 共 29 頁 light1=000。 next_state=s0 。end if。when s2=light1=001。next_state=s0 。end
點擊復(fù)制文檔內(nèi)容
黨政相關(guān)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1