freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

汽車尾燈控制電路的pld實(shí)現(xiàn)課程設(shè)計(jì)(參考版)

2025-06-30 11:47本頁(yè)面
  

【正文】 next_state=s0 。end if。else 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 34 頁(yè) 共 29 頁(yè) light1=000。 thenlight1=010。when s1=if yy=39。 next_state=s0。next_state=s1。139。signal light1 : std_logic_vector(2 downto 0)。architecture behave of youyi istype states is (s0 ,s1,s2)。light : out std_logic_vector(5 downto 0))。use 。end behave。end if。139。synch: process(clk)begin 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 33 頁(yè) 共 29 頁(yè) if(clk39。light(0)=39。light(1)=39。light(2)=39。light(4)=light1(1)。end process。next_state=s0 。end if。elselight1=000。 thenlight1=010。when s1=if zy=39。 next_state=s0。next_state=s1。139。signal light1 : std_logic_vector(2 downto 0)。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 32 頁(yè) 共 29 頁(yè) architecture behave of zuoyi istype states is (s0 ,s1,s2)。light : out std_logic_vector(5 downto 0))。use 。end。light=q。end if。039。) thenq=111111。 thenif (shche=39。event and clk=39。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。light: out std_logic_vector(5 downto 0))。use 。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 31 頁(yè) 共 29 頁(yè) 剎車模塊的程序library ieee。light=q。end if。q(1)= not q(1)。q(3)=not q(3)。) thenq(5)=not q(5)。elsif(clk event and clk=39。039。architecture shift_1 of jiancha issignal q: std_logic_vector(5 downto 0)。light: out std_logic_vector(5 downto 0))。use 。在本次設(shè)計(jì)中最大的收獲是在不斷地發(fā)現(xiàn)問(wèn)題,分析問(wèn)題,解決問(wèn)題的過(guò)程中培養(yǎng)了自己的科研能力,為今后的學(xué)習(xí)工作做了一個(gè)良好的鋪墊。終于使汽車尾燈控制器的控制,檢查,剎車,右轉(zhuǎn)等基本功能都實(shí)現(xiàn)了,美中不足的是汽車尾燈的各個(gè)仿真結(jié)果都有一定的延時(shí)。在整個(gè)電路設(shè)計(jì)完畢并仿真成功后發(fā)現(xiàn),其實(shí)整個(gè)電路設(shè)計(jì)實(shí)現(xiàn)的功能還是比較實(shí)用和易于操作的,而自己也為此付出了許多:從根據(jù)課題要求查找相關(guān)資料,學(xué)習(xí)硬件語(yǔ)言,到自己能夠獨(dú)立編寫小程序;從對(duì) Max Plus II 軟件的摸索,一次次修改程序,到仿真得到較滿意的結(jié)果;從對(duì)截圖工具的搜索下載,論文資料的搜集,到文字排版的學(xué)習(xí)。四是左轉(zhuǎn)模塊,主要功能是當(dāng)駕駛?cè)藛T需要轉(zhuǎn)彎按下左轉(zhuǎn)彎鍵時(shí),尾燈有規(guī)律閃爍,提示后面駕駛?cè)藛T和車輛注意。由此必需設(shè)計(jì)出五個(gè)大的模塊:一是控制模塊,此模塊是總的控制系統(tǒng),將其它模塊的功能融合在一起,便于進(jìn)行集中的控制和操作;二是檢查模塊,此模塊是一個(gè)分模塊,主要功能是對(duì)在汽車尾燈整個(gè)工作的過(guò)程中,在任一狀態(tài)的任一時(shí)刻,檢查汽車自身是否處于正常狀態(tài),各電路系統(tǒng)和車身安全是否良好。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 28 頁(yè) 共 29 頁(yè) 5 小 結(jié)本課題要求基于可編程邏輯器件,使用硬件描述語(yǔ)言 VHDL 編寫一個(gè)汽車尾燈控制器芯片,并用 Max Plus II 軟件進(jìn)行仿真。尾燈顯示由右邊三個(gè)燈依次向右循環(huán)閃爍,左邊三個(gè)燈保持暗狀態(tài)。lk 是脈沖周期信號(hào)。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 27 頁(yè) 共 29 頁(yè) 右轉(zhuǎn)模塊的仿真將程序使用 Max Plus II 進(jìn)行運(yùn)行并仿真,得到右轉(zhuǎn)模塊仿真圖像如 所示。尾燈顯示由左邊三個(gè)燈依次向左循環(huán)閃爍,右邊三個(gè)燈保持暗狀態(tài)。lk 是脈沖周期信號(hào)。 左轉(zhuǎn)模塊的仿真將程序使用 Max Plus II 進(jìn)行運(yùn)行并仿真,得到左轉(zhuǎn)模塊仿真圖像如 所示。尾燈顯示由暗到長(zhǎng)時(shí)間全亮直到控制信號(hào)變?yōu)榈碗娖绞亲儼怠lk 是脈沖周期信號(hào)。 剎車模塊的仿真將程序使用 Max Plus II 進(jìn)行運(yùn)行并仿真,得到剎車模塊仿真圖像如 所示。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 26 頁(yè) 共 29 頁(yè) light 是汽車尾燈顯示輸出信號(hào),高電平有效。 檢查模塊的仿真將程序使用 Max Plus II 進(jìn)行運(yùn)行并仿真,得到檢查模塊仿真圖像如 所示。top_light5 至 top_light0 是汽車尾燈顯示輸出信號(hào),高電平有效。top_jiancha 是檢查控制輸入信號(hào),高電平有效。top_youyi 是右轉(zhuǎn)彎控制輸入信號(hào),高電平有效。圖 右轉(zhuǎn)模塊狀態(tài)循環(huán)圖 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 25 頁(yè) 共 29 頁(yè) 4 汽車尾燈控制器的仿真 控制模塊的仿真將程序使用 Max Plus II 進(jìn)行運(yùn)行并仿真,得到控制模塊仿真圖像如 所示。LIGHT[5…0]是汽車尾燈顯示輸出信號(hào),高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入循環(huán)(100—010—001—100—010—001) 。圖 右轉(zhuǎn)模塊設(shè)計(jì)圖其中,YY 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào),高電平有效。LIGHT[5…0]是汽車尾燈顯示輸出信號(hào),高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入循環(huán)(001—010—100—001—010—100)。圖 左轉(zhuǎn)模塊設(shè)計(jì)圖其中,ZY 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào),高電平有效。end。light=q。end if。039。) thenq=111111。 thenif (shche=39。event and clk=39。architecture shift_1 of shache issignal q:std_logic_vector(5 downto 0)。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 23 頁(yè) 共 29 頁(yè) light: out std_logic_vector(5 downto 0))。use 。圖 剎車模塊狀態(tài)循環(huán)圖剎車模塊的VHDL程序代碼如下:library ieee。LIGHT[5…0]是汽車尾燈顯示輸出信號(hào),高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入高電平(000000—111111)。圖 剎車模塊設(shè)計(jì)圖其中 SHACHE 是剎車控制輸入信號(hào),高電平有效。end。end process。q(0)= not q(0)。q(2)= not q(2)。q(4)=not q(4)。139。) then 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 22 頁(yè) 共 29 頁(yè) q=000000。beginprocess(clk, jiache)beginif (jiache=39。end。entity jiancha isport(jiache ,clk: in std_logic。圖 檢查模塊狀態(tài)循環(huán)圖檢查模塊的VHDL程序代碼如下:library ieee。LIGHT[5..0]是汽車尾燈顯示輸出信號(hào),高電平有效,狀態(tài)圖如上表在高電平有效情況下進(jìn)入循環(huán)(111111—000000—111111—000000) 。檢查模塊的設(shè)計(jì)思路如下圖 所示。u4: shache port map(top_shche ,top_clk ,l4)。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 21 頁(yè) 共 29 頁(yè) u2: zuoyi port map(top_clk,top_zuoyi,l2)。end process。elset=000000。139。 thent=l3。elsiftop_jiache=39。139。 thent=l1。控制模塊的主要作用如下圖 所示 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 20 頁(yè) 共 29 頁(yè) 左轉(zhuǎn)彎控制信號(hào) 右轉(zhuǎn)彎控制信號(hào)主控選擇模塊左側(cè)燈選擇控制模塊 右側(cè)燈選擇控制模塊檢查模塊CH R剎車控制信號(hào) 檢查控制信號(hào)L圖 控制模塊作用圖控制模塊的主要代碼如下:beginif top_youyi=39。TOP_LIGHT[5…0]是汽車尾燈顯示輸出信號(hào),高電平有效。TOP_ZUOYI 是左轉(zhuǎn)彎數(shù)控制輸入信號(hào) ,高電平有效。TOP_JIANCHA 是檢查控制輸入信號(hào),高電平有效。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 19 頁(yè) 共 29 頁(yè) 設(shè)計(jì)思路根據(jù)該汽車尾燈控制器的功能要求,現(xiàn)劃分為以下 5 個(gè)模塊:1.控制模塊2.檢查模塊3.剎車模塊4.左轉(zhuǎn)模塊5.右轉(zhuǎn)模塊每個(gè)模塊各自控制相應(yīng)尾燈的亮滅,控制模塊進(jìn)行總體控制,尾燈的運(yùn)行狀態(tài)表如下表 31 所示。該汽車尾燈控制器的具體工作過(guò)程是:1.初始狀態(tài)時(shí),各系統(tǒng)處于低電平狀態(tài),CLK 信號(hào)作為時(shí)鐘信號(hào)起到頻率間隔作用,當(dāng)汽車啟動(dòng)時(shí)若是某處未能處于安全狀態(tài),比如車門未關(guān)好或是某處電路出現(xiàn)問(wèn)題時(shí),檢查燈 CH 將會(huì)有規(guī)律的閃爍;2.當(dāng)汽車行駛途中,前方出現(xiàn)緊急情況需要采取緊急制動(dòng)時(shí),駕駛員踩下剎車,汽車尾燈 L 和 R 出現(xiàn)規(guī)律性閃爍;3.當(dāng)汽車行駛途中,需要進(jìn)行左拐彎時(shí),駕駛員按下左轉(zhuǎn)彎控制鍵,汽車尾燈 L出現(xiàn)規(guī)律性閃爍;4.當(dāng)汽車行駛途中,需要進(jìn)行右拐彎時(shí),駕駛員按下右轉(zhuǎn)彎控制鍵,汽車尾燈 R出現(xiàn)規(guī)律性閃爍。用于汽車左轉(zhuǎn)時(shí)提醒后方車輛和人員。用于汽車左轉(zhuǎn)時(shí)提醒后方車輛和人員。SHACHE 為剎車控制信號(hào),高電平有效,用于提示后面駕駛?cè)藛T和車輛注意。根據(jù)以上設(shè)計(jì)要求,繪制出汽車尾燈控制器外部框圖如下圖 所示:圖 汽車尾燈控制器外部框圖該框圖的實(shí)現(xiàn)功能如下:CLK 是任意頻率的脈沖, 經(jīng)過(guò)分頻電路后變成秒脈沖輸入,作為時(shí)鐘信號(hào)使用。3.左轉(zhuǎn)功能:當(dāng)駕駛?cè)藛T需要轉(zhuǎn)彎按下左轉(zhuǎn)彎鍵時(shí),尾燈有規(guī)律閃爍,提示后面 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 18 頁(yè) 共 29 頁(yè) 駕駛?cè)藛T和車輛注意。要求該汽車尾燈控制芯片能夠?qū)崿F(xiàn)如下功能:1.自動(dòng)檢查功能:在汽車尾燈整個(gè)工作的過(guò)程中,在任一狀態(tài)的任一時(shí)刻,檢查汽車自身是否處于正常狀態(tài),各電路系統(tǒng)和車身安全是否良好。就是使用 VHDL 模型在所有綜合級(jí)別上對(duì)硬件設(shè)計(jì)進(jìn)行說(shuō)明、建模和仿真測(cè)試。.本文采用 EDA 技術(shù),利用 Max Plus II 工作平臺(tái)和 VHDL 設(shè)計(jì)語(yǔ)言,設(shè)計(jì)了一種汽車尾燈芯片,該芯片具有車輛檢查,剎車,左轉(zhuǎn)彎,右轉(zhuǎn)彎和完成顯示的功能。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 17 頁(yè) 共 39 頁(yè) 1 設(shè)計(jì)說(shuō)明書6 邏輯綜合2 建立 VHDL 行為模塊型7 測(cè)試向量生成3 VHDL 行為仿真8 功能仿真4 VHDLRTL 級(jí)建模5 前端功能仿真設(shè)計(jì)完成11 硬件測(cè)試9 結(jié)構(gòu)綜合10 門級(jí)時(shí)序仿真 3 汽車尾燈控制器詳細(xì)設(shè)計(jì)在 21 世紀(jì)的今天,汽車已經(jīng)逐漸為越來(lái)越多的人們所擁有,在家庭中的普及率不斷提高,大大的方便了我們的生活。 桂忱 汽車尾燈控制電路的 PLD 實(shí)現(xiàn) 第 16 頁(yè) 共 29 頁(yè) 圖形成VHDL 編輯器編譯網(wǎng)表提取數(shù)據(jù)庫(kù)建立邏輯綜合邏輯分割匹配延時(shí)網(wǎng)表提取編輯文件匯編編輯器圖 Plus II 設(shè)計(jì)流程從圖 可清晰了解到 Max Plus II 提供了一種與結(jié)構(gòu)無(wú)關(guān)的設(shè)計(jì)環(huán)境,使設(shè)計(jì)者能方便地進(jìn)行設(shè)計(jì)輸入、快速處理和器件編程。用 Max Plus II 軟件進(jìn)行邏輯設(shè)計(jì)的步驟包括:1.根據(jù)所選課題的任務(wù)
點(diǎn)擊復(fù)制文檔內(nèi)容
黨政相關(guān)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1