freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga無葉風(fēng)扇控制器的設(shè)計(jì)與制作(編輯修改稿)

2024-10-06 18:16 本頁面
 

【文章內(nèi)容簡介】 3. 電機(jī)控制按鍵: key1:控制電機(jī)啟動(dòng); key2:停止; key3:氣壓增加按鍵;key4:氣壓減小按鍵; PWM 發(fā)生器 1. PWM 控制電機(jī), PWM 輸出低電平就啟動(dòng)電機(jī)轉(zhuǎn),而不是高電平,因此這里的占空比 2. 是低電平與周期的比值,這里設(shè)置 PWM 開關(guān)頻率為 2KHZ;分 100 等分; 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 16 4 系統(tǒng)聯(lián)機(jī)調(diào)試 操作細(xì)則 通過無葉風(fēng)扇控制器前面板的按鍵,可以實(shí)現(xiàn)無葉風(fēng)扇的氣壓(風(fēng)量)大小設(shè)定和起動(dòng)停止控制功能,要求實(shí)現(xiàn)如下功能: 設(shè)定功能 按上升“↑”鍵或 下降“↓”鍵可以設(shè)定無葉風(fēng)扇氣壓的大小,設(shè)定值在左邊 4 位數(shù)碼管上顯示,每按一次按鍵,增加或減小 10 帕氣壓值,設(shè)定范圍從 0帕到 400 帕。按鍵可以單次釋放細(xì)調(diào),也可以長按快速調(diào)節(jié)。 起停功能 按起動(dòng)功能鍵可實(shí)現(xiàn)無葉風(fēng)扇的起動(dòng)功能,按停止功能鍵可實(shí)現(xiàn)無葉風(fēng)扇的停止功能,在開機(jī)時(shí)控制器要處于停止?fàn)顟B(tài),設(shè)定值和測量值均顯示“ 000”。 無葉風(fēng)扇運(yùn)行時(shí)實(shí)際輸出的氣壓應(yīng)閉環(huán)控制,跟隨設(shè)定的氣壓。實(shí)際的氣壓值在右邊 4位數(shù)碼管上顯示,顯示的氣壓單位為“帕”,氣壓值以整數(shù)顯示。按停止鍵后無葉風(fēng)扇停止運(yùn)行,氣壓設(shè)定值 保持不變。氣壓傳感器可通過 U 形氣壓計(jì)標(biāo)定。 無葉風(fēng)扇控制器的前面板上有人體紅外感應(yīng)器,在無葉風(fēng)扇處于停止?fàn)顟B(tài)時(shí),當(dāng)感應(yīng)器檢測到有人體活動(dòng)存在,風(fēng)扇自動(dòng)運(yùn)行至設(shè)定氣壓值。 調(diào)試 總結(jié) 在 調(diào)試 的過程中,差動(dòng)放大電 路比較不好調(diào)節(jié)。我們最好當(dāng)壓力傳感器在靜態(tài)工作的時(shí)候先測量壓力傳感器輸出電壓,然后把 差動(dòng)放大電 路的 RW1 電位器分壓調(diào)到與傳感器輸出電壓相同,才能把輸出信號接到 差動(dòng)放大電 路中,然后調(diào)節(jié)電位器 RW2 可使失調(diào)電壓為零。在經(jīng)過 UA741 集成運(yùn)算放大器構(gòu)成 電壓跟隨器 ,信號緩沖隔離,阻抗匹配輸入到 串口 AD轉(zhuǎn)換電路 。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 17 .面板介紹 圖 3- 2 面板示意圖 所示為本系統(tǒng)的前面板,主要包括顯示區(qū)、按鍵區(qū)、電源開關(guān)及傳感器信號輸入。其中,左邊顯示區(qū)用于顯示設(shè)定壓力值,右邊顯示區(qū)用于顯示測量壓力值。按鍵區(qū)中啟動(dòng)鍵用于啟動(dòng)操作;停止鍵用于停止操作;上升鍵用于增加壓力值操作;下降鍵用于減小壓力值操作。電源開關(guān)用于接通或關(guān)斷與 220V 市電的連接。 . 后 面板介紹 圖 3- 3 后板示意圖 所示為本系統(tǒng)的后面板,主要包括交流 220V 電源輸入端子、電機(jī)接口輸出端子、傳感器 信號輸入端子。其中,交流 220V 電源輸入端子里面還自帶保險(xiǎn)絲。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 18 結(jié) 論 通過對無葉風(fēng)扇控制器的設(shè)計(jì)與制作與模擬仿真實(shí)驗(yàn)等調(diào)試工作,得出以下結(jié)論: ,正確設(shè)計(jì)了 FPGA 控制系統(tǒng)的主電路、外部接口電路及控制程序等,經(jīng)過實(shí)驗(yàn)表明,設(shè)計(jì)方案正確可行。完成整個(gè)系統(tǒng)實(shí)物裝配與調(diào)試。 、安全、環(huán)保、 健康、省電、方便、價(jià)廉等優(yōu)勢,在市場中有一定的競爭力的產(chǎn)品。 ,成本還是比較高的,如果用在什么類似的測量的地方是比較好的。 4. 本課題的研究中 尚存在的問題是差動(dòng)放大電 路中的電位器調(diào)節(jié)時(shí),不能很精確調(diào)到自己想要的,很容易松動(dòng)。建議調(diào)好以后再改使用固定的電阻。 5. 本無葉風(fēng)扇控制器中風(fēng)扇的電機(jī)要的電流比較大,建議不要共用一個(gè)開關(guān)電源。在焊接 FPGA 芯片時(shí),由于管腳比較多,在焊接時(shí)一定放正、焊牢。 、報(bào)警、傾斜保護(hù)、轉(zhuǎn)向、遙控等常用功能。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 致謝 本論文設(shè)計(jì)在劉子堅(jiān)老師的悉心指導(dǎo)和嚴(yán)格要求下業(yè)已完成,從課題選擇到具體的寫作過程,無不凝聚著劉子堅(jiān)老師的心血和汗水,在我的畢業(yè)論文寫作期間,劉子堅(jiān)老 師為我提供了種種專業(yè)知識(shí)上的指導(dǎo)和一些富于創(chuàng)造性的建議,沒有這樣的幫助和關(guān)懷,我不會(huì)這么順利的完成畢業(yè)論文。在此向劉子堅(jiān)老師表示深深的感謝和崇高的敬意。 在臨近畢業(yè)之際,我還要借此機(jī)會(huì)向在這三年中給予了我?guī)椭椭笇?dǎo)的所有老師表示由衷的謝意,感謝他們?nèi)陙淼男燎谠耘?。不積跬步何以至千里,各位任課老師認(rèn)真負(fù)責(zé),在他們的悉心幫助和支持下,我能夠很好的掌握和運(yùn)用專業(yè)知識(shí),并在設(shè)計(jì)中得以體現(xiàn),順利完成畢業(yè)論文。同時(shí),在論文寫作過程中,我還參考了有關(guān)的書籍和論文,在這里一并向有關(guān)的作者表示謝意。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 20 參考文獻(xiàn) [1] 潘明 , 潘松 . 數(shù)字電子技術(shù)基礎(chǔ) [M]. 科學(xué)出版社 ,2020: 108~ 295. [2] 姚遠(yuǎn),李辰等 . FPGA 應(yīng)用開發(fā)入門與典型實(shí)例 [M].北京 : 人民郵電出版社 , 2020. [3] 王金明 . 數(shù)字系統(tǒng)設(shè)計(jì)與 Verilog HDL(第 4版) [M].北京:電子工業(yè)出版社, 2020. [4] 劉文光 . 硅壓阻式輪胎氣壓傳感器電路設(shè)計(jì) [ J]. 輪胎工業(yè) , 2020: 299~ 301. [5] 陳杰,黃鴻 . 傳感器與檢測技術(shù)(第 2 版) [M]. 北京:高等教 育出版社,2020. [6] 辜文杰,方宏 . 基于熱釋電效應(yīng)的紅外人體檢測 [ J]. 電子世界 , 2020: 48~ 49. [7] 胡宴如 , 耿蘇燕 . 模擬電子技術(shù)(第 3 版) [M]. 高等教育出版社 ,2020: 28~ 251. [8] 陳學(xué)平 . Altium Designer Summer10 電路設(shè)計(jì)與制作 [M]. 電子工業(yè)出版社 ,2020: 41~ 192. 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 21 附 件 附件 1 :部分元器件清單 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 22 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 23 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 24 附件 2:程序清單 頂層 library IEEE。 use 。 use 。 use 。 entity Total_Program is port( CLK : in std_logic 。100MHZ 時(shí)鐘信號 RESET : in std_logic 。 人體熱釋電 INFRARED_RECEIVE : in std_logic。紅外人體感應(yīng)輸出信號 INF_LED : out std_logic。人體紅外熱釋電感應(yīng)到就燈亮 按鍵控制 KEY_IN : in std_logic_vector(3 downto 0)。四個(gè)按鍵 AD7991 采樣 LED3:out std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 SCL : out std_logic。 AD_CONVERSION_SPEED :out std_logic。ad 采樣速度,用于觀察 電機(jī)控制 PWM_OUT : out std_logic。 數(shù)碼管顯示 DOT: out std_logic。小數(shù)點(diǎn) LED7 :out std_logic_vector(6 downto 0)。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 end Total_Program。 architecture Behavioral of Total_Program is 按鍵子程序 ponent ManyKeys port( CLK : in std_logic。 RESET : in std_logic。 KEY_IN : in std_logic_vector(3 downto 0)。四個(gè)按鍵 KEY_OUT : out std_logic_vector(3 downto 0) 按鍵輸出指示 ,對應(yīng)key1~key4 )。 end ponent 。 AD 采樣 ponent ack_check port( CLK : in std_logic。 100MHZ RESET : in std_logic。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 25 Sampling_fre: out std_logic。AD7991 每次轉(zhuǎn)換標(biāo)志 LED3:out std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 SCL : out std_logic。 AD_CONVERSION_SPEED :out std_logic。 DATA_OUT: out std_logic_vector(11 downto 0) )。 end ponent 。 電機(jī)控制程序 ponent Motor_Control port( CLK : in std_logic。 RESET : in std_logic。 KEY1,KEY2,KEY3,KEY4 : in std_logic 。四個(gè)獨(dú)立按鍵 SAMPLING_FLAG : in std_logic。AD7991 每次采樣標(biāo)志 INFRARED_RECEIVE : in std_logic。紅外熱釋電接收信號 INF_LED : out std_logic。人體紅外熱釋電感應(yīng)到就燈亮 SAMPLING_DATA : in std_logic_vector(11 downto 0)。AD7991 每次采樣輸出的 12bits 數(shù)據(jù) SMG_DATA_SV : out std_logic_vector(11 downto 0)。給數(shù)碼管顯示的設(shè)定數(shù)據(jù); SMG_DATA_PV : out std_logic_vector(11 downto 0)。給數(shù)碼管顯示的測量值 PWM_DUTY : out std_logic_vector(6 downto 0) 控制 PWM 的數(shù)值 )。 end ponent 。 PWM 控制 ponent PWM port( CLK : in std_logic。 RESET : in std_logic。 DUTY : in std_logic_vector(6 downto 0)。從 0~100% PWM_OUT : out std_logic )。 end ponent 。 數(shù)碼管顯示 ponent Smg_Display port( CLK :in std_logic。100MHZ RESET : in std_logic。 DATA_SV : in std_logic_vector(11 downto 0)。 DATA_PV : in std_logic_vector(11 downto 0)。 DOT: out std_logic。 MSB LSB LED7 :out std_logic_vector(6 downto 0)。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 end ponent 。 signal key_reg : std_logic_vector(3 downto 0)。 signal sampling_reg : std_logic。 signal ad_reg : std_logic_vector(11 downto 0)。 signal duty_reg : std_logic_vector(6 downto 0)。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 26 signal sv_smg,pv_smg : std_logic_vector(11 downto 0)。 begin UA : ManyKeys port map ( CLK = CLK , RESET = RESET ,
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1