freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga無葉風(fēng)扇控制器的設(shè)計(jì)與制作(留存版)

2024-10-30 18:16上一頁面

下一頁面
  

【正文】 電路 圖 2- 7 差動放大電路 圖 電源電路采用 2 個二極管 VD1 VD2 限幅保護(hù)作用。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg 按鍵子程序 ponent ManyKeys port( CLK : in std_logic。 KEY1,KEY2,KEY3,KEY4 : in std_logic 。 DATA_SV : in std_logic_vector(11 downto 0)。在經(jīng)過 UA741 集成運(yùn)算放大器構(gòu)成 電壓跟隨器 ,信號緩沖隔離,阻抗匹配輸入到 串口 AD轉(zhuǎn)換電路 。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 致謝 本論文設(shè)計(jì)在劉子堅(jiān)老師的悉心指導(dǎo)和嚴(yán)格要求下業(yè)已完成,從課題選擇到具體的寫作過程,無不凝聚著劉子堅(jiān)老師的心血和汗水,在我的畢業(yè)論文寫作期間,劉子堅(jiān)老 師為我提供了種種專業(yè)知識上的指導(dǎo)和一些富于創(chuàng)造性的建議,沒有這樣的幫助和關(guān)懷,我不會這么順利的完成畢業(yè)論文。 SCL : out std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 PWM 控制 ponent PWM port( CLK : in std_logic。 signal duty_reg : std_logic_vector(6 downto 0)。 end ManyKeys。輸入按鍵 KOUT : out std_logic按鍵輸出指示 )。 end process。139。等待按鍵高電平出現(xiàn) else current_state=s4。 use 。產(chǎn)生 100HZ 的 clock 信號 begin if RESET=39。 then count1:=0。啟動信號 case count1 is when 1 = SDA=39。 when 4 =SCL=39。039。count1:=0。 SCL=39。current_state=read_start。 when read_slave_address = count1:=count1+1。Z39。 end case。 when others =null。 when 5 = count1:=0。139。 when 3 =if SDA=39。139。Z39。 when transmit_slave_address = count1:=count1+1。 last bit 0 : write address model current_state=start。 variable t1:integer range 0 to 8。 type state is (start,transmit_slave_address,check_ack1,transmit_reg,check_ack2,stop,read_start,read_slave_address, read_check_ack1,read_data_high,read_check_ack2,read_data_low,ack_bymaster )。 此程序跑的是標(biāo)準(zhǔn)模式; SDA 和 SCL 分別為 AD7991 的數(shù)據(jù)線和時鐘線; 程序使用說明 ( 1 ) AD_CONVERSION_SPEED 為 采 樣 觀 察 信 號 , 實(shí) 際 采 樣 頻 率f=AD_CONVERSION_SPEED; 通過改變第一個進(jìn)程的 count 值可以改變采樣頻率; ( 2) IIC 地址選擇: 0101000,即 AD79910 的地址 ( 3)內(nèi)部寄存器結(jié)構(gòu): D7 D6 D5 D4 D3 D2 D1 D0 當(dāng) D3=0 時,選擇的參考電壓時內(nèi)部電壓,即 ,反之則選擇外部參考電壓; D7~D4 為通道選擇設(shè)置,該程序 D7~D4=0001,選擇通道 VIN0,即 0~5v 輸入 其他位默認(rèn)為零,選擇 1 或 0 差不多; AD 采樣值計(jì)算及信號觀察 VIN=(DATA_OUT/4096)*5V,比如當(dāng) VIN0= 時, DATA_OUT=101010001111。確定按下,按下輸出指示有效, kout=‘ 1’ end if。 end if。clk100hz=not clk100hz。 use 。 entity ManyKeys is port( CLK : in std_logic。 end ponent 。AD7991 每次采樣輸出的 12bits 數(shù)據(jù) SMG_DATA_SV : out std_logic_vector(11 downto 0)。 AD 采樣 ponent ack_check port( CLK : in std_logic。紅外人體感應(yīng)輸出信號 INF_LED : out std_logic。建議調(diào)好以后再改使用固定的電阻。氣壓傳感器可通過 U 形氣壓計(jì)標(biāo)定。從 0~100% PWM_OUT : out std_logic )。 DATA_OUT: out std_logic_vector(11 downto 0) )。 AD_CONVERSION_SPEED :out std_logic。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 10 壓力傳感器電路 圖 2- 7 壓力傳感器電路 圖 MPXV7002DP為 小型封裝 (SOP)壓阻式傳感器是最新型的單片式硅壓力傳感器,可廣泛用于各種應(yīng)用,可提供與被測壓力成正比、精確的高電平模擬輸出信號。當(dāng)作為照明控制時,若環(huán)境較明亮, Rt的電阻值會降低,使 9 腳的輸入保持為低電平,從而封鎖觸發(fā)信號 Vs。而且 FPGA 芯片所具有的可編程修改的特點(diǎn)以及其強(qiáng)大的邏輯功能都是單片機(jī)難以達(dá)到的,這樣不但給設(shè)計(jì)過程中帶來一系列的便利,而且在電風(fēng)扇的功能日趨人性化和智能話的發(fā)展趨勢下,以 FPGA 為控制器件的設(shè)計(jì)無疑更加具有市場競爭力。因?yàn)闆]有風(fēng)扇片來‘切割’空氣,使用者不會感到階段性沖擊和波浪形刺激。 關(guān)鍵詞 : 無葉風(fēng)扇 ; 無葉風(fēng)扇 ; FPGA 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) FPGA design bladeless fan controller ( Major of Applied Electronic Technology, Information and Engineering College, Peng ZhaoXiang) ABSTRACT This product is a core ponent of the nonleaf fan, easy to use, stable and reliable. Bladeless fan also called air doubler, it can produce natural continuous cool breeze, no leaves, no dust cover, or injury to the fingers of curious children. Even more amazing is its peculiar shape, the appearance of both the flow lines and fresh, giving the visual effect can not be pared. The controller uses FPGA as the core chip, featurerich, stable performance, reliable quality, userfriendly design, especially the many protection functions product failure rates down to very low levels. Easy to upgrade, powerful, and a wide range of versatility, speed, and very easy to install. Keyword: No leaf fan; Controller; FPGA 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 目錄 引言 ................................................................. 1 1方案論證與確定 ..................................................... 2 方案的選擇 ..................................................... 2 方案論證與確定 ................................................. 3 2硬件設(shè)計(jì) ........................................................... 4 硬件整體結(jié)構(gòu)及原理 ............................................. 4 各模塊電路 ..................................................... 5 最小系統(tǒng) ................................................... 5 人機(jī)接口電路與人體紅外感應(yīng)電路 ............................. 6 電源電路 .................................................... 7 串口 AD 轉(zhuǎn)換電路 ............................................. 8 電機(jī)驅(qū)動電路 ................................................ 9 壓力傳感器電路 ............................................. 10 差動放大電路 ............................................... 11 3控制程序設(shè)計(jì) ...................................................... 12 程序流程圖設(shè)計(jì) ................................................ 12 程序設(shè)計(jì)介紹 .................................................. 13 4系統(tǒng)聯(lián)機(jī)調(diào)試 ...................................................... 16 ...................................................... 16 設(shè)定功能 ................................................... 16 起停功能 ................................................... 16 調(diào)試總結(jié) .................................................. 16 .面板介紹 ..................................................... 17 . 后 面板介紹 ................................................... 17 結(jié)論 .............................
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1