freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga無葉風(fēng)扇控制器的設(shè)計與制作(文件)

2024-09-24 18:16 上一頁面

下一頁面
 

【正文】 100PF并聯(lián)在正負(fù)電源上起高頻抗干擾的作用。100MHZ 時鐘信號 RESET : in std_logic 。四個按鍵 AD7991 采樣 LED3:out std_logic。ad 采樣速度,用于觀察 電機(jī)控制 PWM_OUT : out std_logic。 RESET : in std_logic。 AD 采樣 ponent ack_check port( CLK : in std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 end ponent 。四個獨(dú)立按鍵 SAMPLING_FLAG : in std_logic。AD7991 每次采樣輸出的 12bits 數(shù)據(jù) SMG_DATA_SV : out std_logic_vector(11 downto 0)。 PWM 控制 ponent PWM port( CLK : in std_logic。 end ponent 。 DATA_PV : in std_logic_vector(11 downto 0)。 AD 轉(zhuǎn)換 AD轉(zhuǎn)換采用的是 12bits 的串行 iic協(xié)議的 AD7991, AD7991 可以在三種模式下運(yùn)行,分別是 ,快速模式,高速模式,對應(yīng)的時鐘最高分別為 100K, 400K,和。 無葉風(fēng)扇運(yùn)行時實(shí)際輸出的氣壓應(yīng)閉環(huán)控制,跟隨設(shè)定的氣壓。 無葉風(fēng)扇控制器的前面板上有人體紅外感應(yīng)器,在無葉風(fēng)扇處于停止?fàn)顟B(tài)時,當(dāng)感應(yīng)器檢測到有人體活動存在,風(fēng)扇自動運(yùn)行至設(shè)定氣壓值。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 17 .面板介紹 圖 3- 2 面板示意圖 所示為本系統(tǒng)的前面板,主要包括顯示區(qū)、按鍵區(qū)、電源開關(guān)及傳感器信號輸入。 . 后 面板介紹 圖 3- 3 后板示意圖 所示為本系統(tǒng)的后面板,主要包括交流 220V 電源輸入端子、電機(jī)接口輸出端子、傳感器 信號輸入端子。 、安全、環(huán)保、 健康、省電、方便、價廉等優(yōu)勢,在市場中有一定的競爭力的產(chǎn)品。 5. 本無葉風(fēng)扇控制器中風(fēng)扇的電機(jī)要的電流比較大,建議不要共用一個開關(guān)電源。在此向劉子堅老師表示深深的感謝和崇高的敬意。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 20 參考文獻(xiàn) [1] 潘明 , 潘松 . 數(shù)字電子技術(shù)基礎(chǔ) [M]. 科學(xué)出版社 ,2020: 108~ 295. [2] 姚遠(yuǎn),李辰等 . FPGA 應(yīng)用開發(fā)入門與典型實(shí)例 [M].北京 : 人民郵電出版社 , 2020. [3] 王金明 . 數(shù)字系統(tǒng)設(shè)計與 Verilog HDL(第 4版) [M].北京:電子工業(yè)出版社, 2020. [4] 劉文光 . 硅壓阻式輪胎氣壓傳感器電路設(shè)計 [ J]. 輪胎工業(yè) , 2020: 299~ 301. [5] 陳杰,黃鴻 . 傳感器與檢測技術(shù)(第 2 版) [M]. 北京:高等教 育出版社,2020. [6] 辜文杰,方宏 . 基于熱釋電效應(yīng)的紅外人體檢測 [ J]. 電子世界 , 2020: 48~ 49. [7] 胡宴如 , 耿蘇燕 . 模擬電子技術(shù)(第 3 版) [M]. 高等教育出版社 ,2020: 28~ 251. [8] 陳學(xué)平 . Altium Designer Summer10 電路設(shè)計與制作 [M]. 電子工業(yè)出版社 ,2020: 41~ 192. 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 21 附 件 附件 1 :部分元器件清單 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 22 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 23 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 24 附件 2:程序清單 頂層 library IEEE。 entity Total_Program is port( CLK : in std_logic 。人體紅外熱釋電感應(yīng)到就燈亮 按鍵控制 KEY_IN : in std_logic_vector(3 downto 0)。 AD_CONVERSION_SPEED :out std_logic。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 KEY_IN : in std_logic_vector(3 downto 0)。 100MHZ RESET : in std_logic。 SCL : out std_logic。 電機(jī)控制程序 ponent Motor_Control port( CLK : in std_logic。AD7991 每次采樣標(biāo)志 INFRARED_RECEIVE : in std_logic。給數(shù)碼管顯示的設(shè)定數(shù)據(jù); SMG_DATA_PV : out std_logic_vector(11 downto 0)。 RESET : in std_logic。 數(shù)碼管顯示 ponent Smg_Display port( CLK :in std_logic。 DOT: out std_logic。 signal key_reg : std_logic_vector(3 downto 0)。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 26 signal sv_smg,pv_smg : std_logic_vector(11 downto 0)。 UD : PWM port map ( CLK = CLK , RESET = RESET , DUTY =duty_reg, PWM_OUT= PWM_OUT )。 use 。 RESET : in std_logic。 architecture Behavioral of ManyKeys is ponent Independent_Keys port( CLK : in std_logic。 end ponent 。 程序功能:單個按鍵按下并要求釋放后才算一次按鍵,按下和釋放都去抖了,一次按鍵按下并釋放后 按鍵輸出只持續(xù) 10ms 高電平 library IEEE。 entity Independent_Keys is port( 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 28 CLK : in std_logic。 end Independent_Keys。 begin process(CLK,RESET)產(chǎn)生 10ms 周期的時鐘信號 variable count:integer range 0 to 500000。clk100hz=39。 else count:=count+1。 process(clk100hz,RESET)按鍵消抖程序塊 begin if RESET=39。current_state=s0。139。 when s1 = KOUT=39。 then current_state=s0。139。再次檢測有鍵按下否 else KOUT=39。 when s3 = if KEY=39。出現(xiàn)后先不急著電平翻轉(zhuǎn),在等個 10ms 消除釋放抖動 end if。釋放后結(jié)束 current_state=s0。 end process。 備注: 12AD 最小分辨率: 5/4096=1mv; library IEEE。 entity ack_check is port( CLK : in std_logic。ad 采樣信號,用于觀察采集頻率 LED3:out std_logic。 end ack_check。 signal current_state : state:=start。039。 else count:=count+1。 AD7991 IIC 協(xié)議進(jìn)程 process(clock,RESET) variable count1:integer range 0 to 16。 variable t2 :integer range 0 to 16。 data_reg=000000000000。139。1 byte length AD_CONVERSION_SPEED=39。 read_address:=01010001。139。039。current_state=transmit_slave_address。寫地址 case count1 is when 1 =SDA=slave_address(t1)。039。current_state=check_ack1。 end case。 when 2 =SCL=39。 then count1:=0。 end if。 when transmit_reg = count1:=count1+1。 when 4=SCL=39。 if t1=0 then t1:=8。 when others=null。Z39。039。039。 when 16 =current_state=start。停止 case count1 is when 1=SDA=39。 when 3=SDA=39。 when others=null。139。039。current_state=read_slave_address。讀地址 case count1 is when 1 =SDA=read_address(t1)。039。current_state=read_check_ack1。 end case。 when 2 =SCL=39。 then count1:=0。 end if。 when read_data_high = count1:=count1+1。 when others =null。 SCL=39。 when 3 =if SDA=39。 檢查應(yīng)答 case count1 is when 1 =SDA=39。 end if。count1:=0。139。 end case。039。139。 read conversion when read_start = count1:=count1+1。 when 15=count1:=0。 when 2=SCL=39。 end case。039。current_state=sto
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1