freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga無(wú)葉風(fēng)扇控制器的設(shè)計(jì)與制作-wenkub.com

2024-08-27 18:16 本頁(yè)面
   

【正文】 end case。039。039。Z39。 when others =null。 if t1=0 then t1:=8。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 33 when 4 =SCL=39。 when read_slave_address = count1:=count1+1。 when 5 = count1:=0。 when 3 = SDA=39。讀啟動(dòng)信號(hào) case count1 is when 1 = SDA=39。current_state=read_start。139。 when stop = count1:=count1+1。 end if。 SCL=39。 when 3 =if SDA=39。 應(yīng)答信號(hào)檢查 case count1 is when 1 =SDA=39。 end if。count1:=0。139。 end case。039。039。Z39。 when others =null。 if t1=0 then t1:=8。 when 4 =SCL=39。 when transmit_slave_address = count1:=count1+1。 when 5 = count1:=0。 when 3 = SDA=39。啟動(dòng)信號(hào) case count1 is when 1 = SDA=39。 last bit 0 : write address model current_state=start。 t1:=8。 SCL=39。 then count1:=0。 variable t1:integer range 0 to 8。 end process。 clock= not clock。產(chǎn)生 100HZ 的 clock 信號(hào) begin if RESET=39。 type state is (start,transmit_slave_address,check_ack1,transmit_reg,check_ack2,stop,read_start,read_slave_address, read_check_ack1,read_data_high,read_check_ack2,read_data_low,ack_bymaster )。 DATA_OUT: out std_logic_vector(11 downto 0) 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 30 )。AD7991 每次轉(zhuǎn)換標(biāo)志 AD_CONVERSION_SPEED : out std_logic。 use 。 此程序跑的是標(biāo)準(zhǔn)模式; SDA 和 SCL 分別為 AD7991 的數(shù)據(jù)線和時(shí)鐘線; 程序使用說(shuō)明 ( 1 ) AD_CONVERSION_SPEED 為 采 樣 觀 察 信 號(hào) , 實(shí) 際 采 樣 頻 率f=AD_CONVERSION_SPEED; 通過(guò)改變第一個(gè)進(jìn)程的 count 值可以改變采樣頻率; ( 2) IIC 地址選擇: 0101000,即 AD79910 的地址 ( 3)內(nèi)部寄存器結(jié)構(gòu): D7 D6 D5 D4 D3 D2 D1 D0 當(dāng) D3=0 時(shí),選擇的參考電壓時(shí)內(nèi)部電壓,即 ,反之則選擇外部參考電壓; D7~D4 為通道選擇設(shè)置,該程序 D7~D4=0001,選擇通道 VIN0,即 0~5v 輸入 其他位默認(rèn)為零,選擇 1 或 0 差不多; AD 采樣值計(jì)算及信號(hào)觀察 VIN=(DATA_OUT/4096)*5V,比如當(dāng) VIN0= 時(shí), DATA_OUT=101010001111。 end if。039。等待按鍵高電平出現(xiàn) else current_state=s4。確定按下,按下輸出指示有效, kout=‘ 1’ end if。current_state=s0。 when s2 = if KEY=39。139。 end if。檢測(cè)有鍵按 下 if KEY=39。039。 end process。clk100hz=not clk100hz。 then count:=0。 signal current_state : state。輸入按鍵 KOUT : out std_logic按鍵輸出指示 )。 use 。 end Behavioral。輸入按鍵 KOUT : out std_logic按鍵輸出指示 )。 end ManyKeys。 entity ManyKeys is port( CLK : in std_logic。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 27 library IEEE。 UC : Motor_Control port map ( CLK = CLK , RESET = RESET , KEY1 =key_reg(3), KEY2 =key_reg(2), KEY3 =key_reg(1), KEY4 =key_reg(0), SAMPLING_FLAG =sampling_reg, INFRARED_RECEIVE = INFRARED_RECEIVE, INF_LED =INF_LED, SAMPLING_DATA = ad_reg, SMG_DATA_SV =sv_smg, SMG_DATA_PV =pv_smg, PWM_DUTY = duty_reg )。 signal duty_reg : std_logic_vector(6 downto 0)。 end ponent 。 DATA_PV : in std_logic_vector(11 downto 0)。 end ponent 。 PWM 控制 ponent PWM port( CLK : in std_logic。AD7991 每次采樣輸出的 12bits 數(shù)據(jù) SMG_DATA_SV : out std_logic_vector(11 downto 0)。四個(gè)獨(dú)立按鍵 SAMPLING_FLAG : in std_logic。 end ponent 。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 AD 采樣 ponent ack_check port( CLK : in std_logic。 RESET : in std_logic。小數(shù)點(diǎn) LED7 :out std_logic_vector(6 downto 0)。 SCL : out std_logic。紅外人體感應(yīng)輸出信號(hào) INF_LED : out std_logic。 use 。同時(shí),在論文寫作過(guò)程中,我還參考了有關(guān)的書籍和論文,在這里一并向有關(guān)的作者表示謝意。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 致謝 本論文設(shè)計(jì)在劉子堅(jiān)老師的悉心指導(dǎo)和嚴(yán)格要求下業(yè)已完成,從課題選擇到具體的寫作過(guò)程,無(wú)不凝聚著劉子堅(jiān)老師的心血和汗水,在我的畢業(yè)論文寫作期間,劉子堅(jiān)老 師為我提供了種種專業(yè)知識(shí)上的指導(dǎo)和一些富于創(chuàng)造性的建議,沒(méi)有這樣的幫助和關(guān)懷,我不會(huì)這么順利的完成畢業(yè)論文。建議調(diào)好以后再改使用固定的電阻。完成整個(gè)系統(tǒng)實(shí)物裝配與調(diào)試。電源開關(guān)用于接通或關(guān)斷與 220V 市電的連接。在經(jīng)過(guò) UA741 集成運(yùn)算放大器構(gòu)成 電壓跟隨器 ,信號(hào)緩沖隔離,阻抗匹配輸入到 串口 AD轉(zhuǎn)換電路 。氣壓傳感器可通過(guò) U 形氣壓計(jì)標(biāo)定。 起停功能 按起動(dòng)功能鍵可實(shí)現(xiàn)無(wú)葉風(fēng)扇的起動(dòng)功能,按停止功能鍵可實(shí)現(xiàn)無(wú)葉風(fēng)扇的停止功能,在開機(jī)時(shí)控制器要處于停止?fàn)顟B(tài),設(shè)定值和測(cè)量值均顯示“ 000”。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 DATA_SV : in std_logic_vector(11 downto 0)。從 0~100% PWM_OUT : out std_logic )。 end ponent 。人體紅外熱釋電感應(yīng)到就燈亮 SAMPLING_DATA : in std_logic_vector(11 downto 0)。 KEY1,KEY2,KEY3,KEY4 : in std_logic 。 DATA_OUT: out std_logic_vector(11 downto 0) )。AD7991 每次轉(zhuǎn)換標(biāo)志 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 14 LED3:out std_logic。 end ponent 。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg 按鍵子程序 ponent ManyKeys port( CLK : in std_logic。 AD_CONVERSION_SPEED :out std_logic。人體紅外熱釋電感應(yīng)到就燈亮 按鍵控制 KEY_IN : in std_logic_vector(3 downto 0)。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 12 3 控制程序設(shè)計(jì) 程序流程圖設(shè)計(jì) 開 始A D 采 集 壓 力 傳 感 器電 壓 值數(shù) 碼 管 顯 示 設(shè) 定 壓力 值 和 實(shí) 測(cè) 壓 力 值是 否 設(shè) 定 壓 力值 ?是 否 啟 動(dòng) 風(fēng)扇 ?是 否 檢 測(cè) 到 有人 ?是 否 增 大 壓力 ?是 否 減 小 壓力 ?風(fēng) 扇 啟 動(dòng)P I 算 法 處 理 使 穩(wěn) 定在 設(shè) 定 值否是是壓 力 相 應(yīng) 加 減 , 并返 回 壓 力 設(shè) 定 值否否否是是結(jié) 束圖 3- 1 程序流程圖 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 13 程序設(shè)計(jì)介紹 程序功能 CLK : in std_logic 。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(jì)(論文) 11 差動(dòng)放大電路
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1