freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga無葉風(fēng)扇控制器的設(shè)計與制作-文庫吧

2025-07-28 18:16 本頁面


【正文】 PXV7002DP。整個硬件的框圖如圖所示。 圖 2- 1 整個硬件的 結(jié)構(gòu)框圖 整個電路的工作原理是由 100MHz 石英晶振 FPGA 提供時鐘信號, FPGA 芯片所需要的 和 電壓由外部的 5V 電源通過電源電路獲得。壓力傳感器MPXV7002DP 將采集的壓力信息 經(jīng)過信號放大和 A/D 轉(zhuǎn)換直接以數(shù)字信號的形式 傳遞給 FPGA 芯片,用戶也可通過按鈕根據(jù)需要自己設(shè)定壓力值。采集壓力和設(shè)定壓力都送給 FPGA 控制器,控制器通過對兩個壓力值的比較做出判斷,當(dāng)測量壓力大于設(shè)定壓力時就想電風(fēng)扇的電機(jī)發(fā)出減小的控制信號;當(dāng)測量壓力小于設(shè)定壓力時,則發(fā)出一增加的控制信號 ;當(dāng)兩者相等時則不減小也不增加。通過執(zhí)行機(jī)構(gòu)工作狀態(tài)的轉(zhuǎn)換來達(dá)到改變風(fēng)扇風(fēng)力大小的目的。同時, FPGA 還可以對設(shè)定壓力進(jìn)行定時,并將定時的時間、測量壓力和設(shè)定壓力的值通過 6 個數(shù)碼管顯示出來。 FPGA 100MHz 石英晶振 電源電路 風(fēng)扇 執(zhí)行機(jī)構(gòu) 數(shù)碼管顯示 壓力傳感器 按鈕 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 5 各模塊電路 最小系統(tǒng) 圖 2- 2 最小系統(tǒng) 電路 圖 最小系統(tǒng)由 FPGA 芯片、晶振電路、配置電路、復(fù)位電路等四部分構(gòu)成。其中,F(xiàn)PGA 為核心,可用于構(gòu)建強(qiáng)大的數(shù)字系統(tǒng);晶振電路選用 100MHz 有源晶振,作為系統(tǒng)主時鐘;配置電路選用 SPI接口的 Flash 芯片 W25Q128BV;復(fù)位電路采用簡單的阻容耦合方式,低電平復(fù)位。采用 AD7991,該轉(zhuǎn)換芯片的工作電壓及參考電壓選擇為 +,而信號調(diào)理電路輸出電壓范圍為 0~ 5V,因此需要分壓、緩沖電路實(shí)現(xiàn) 0~ 5V 到 0~ 轉(zhuǎn)換,在運(yùn)放同相 端及輸出接口處加二極管進(jìn)行限幅,實(shí)現(xiàn)對運(yùn)放及后級電路的保護(hù); A/D 芯片輸出接口采用 IIC 接口,在其輸出 SCL、 SDA部分必須接上拉電阻;為了提高測量精度, Vin3 在此處接參考電壓。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 6 人機(jī)接口電路與人體紅外感應(yīng)電路 圖 2- 3 人機(jī)接口與人體紅外感應(yīng) 電路 圖 本系統(tǒng)人機(jī)接口電路采用獨(dú)立按鍵加數(shù)碼管相結(jié)合的方式。按鍵采用微動開關(guān),其一端通過一電阻上拉至 ,另外一端接地,當(dāng)某個鍵被按下時,對應(yīng)輸出被拉低;數(shù)碼管顯示電路中,數(shù)碼管選用共陽數(shù)碼管,為節(jié)約端口采用動態(tài)掃描方式,段碼驅(qū)動電路選用 74HC245 總線驅(qū)動芯片,位選驅(qū)動電路采用 74HC245與三極管相結(jié)合的方式。 紅外傳感器增加了后級處理芯片 BISS0001, Rt 為光敏電阻,用來檢測環(huán)境照度。當(dāng)作為照明控制時,若環(huán)境較明亮, Rt的電阻值會降低,使 9 腳的輸入保持為低電平,從而封鎖觸發(fā)信號 Vs。 1 腳是工作方式選擇,當(dāng) 1腳與 +5V端連通時,芯片處于可重復(fù)觸發(fā)工作方式;當(dāng) 1 腳與地端連通時,芯片則處于不可重復(fù)觸發(fā)工作方式。輸出延遲時間 Tx 由外部的 R55 和 C24 的大小調(diào)整,值為 Tx≈ 24576xR55C24;觸發(fā)封鎖時間 Ti 由外部的 R56 和 C25 的大小調(diào)整 ,值為Ti≈ 24xR56C25,穩(wěn)壓二極管在輸出起保護(hù)作用。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 7 電源電路 圖 2- 4 電源 電路 圖 外面接 12V 開關(guān)電源,經(jīng)過 SW1開關(guān),再整流濾波以后通過 3 個 LM2576 分別產(chǎn)生 5V, 的電壓。其中 , 和 用了很多電容抗高頻干擾,保證了FPGA 的工作穩(wěn)定。同時在圖中還有 EPROM,在電路中 R43 作為 M93C66 的 4腳的下拉電阻。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 8 串口 AD 轉(zhuǎn)換電路 圖 2- 5 串口 AD轉(zhuǎn)換電路 圖 主要由芯片 LF353 構(gòu)成電壓跟隨器,還有 2 個 TL084N 構(gòu)成反相放大 器。并且輸入和輸出都有二極管限幅保護(hù)作用。由它們組成兩路 0― 5V 輸入和兩路 5― 5V輸入。 4路輸出再經(jīng)過 AD7991 的模數(shù)轉(zhuǎn)換,產(chǎn)生的數(shù)字信號在加到 FPGA 控制芯片。該電源另外采用 LM2576 集成電源,防止共用一個 電源時電流不足。 LED 串聯(lián)一個 限流電阻指示燈。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 9 電機(jī)驅(qū)動電路 圖 2- 6 電機(jī)驅(qū)動電路 圖 該電機(jī)驅(qū)動電路采用光耦 TLP521 隔離,還附加了 LED 指示燈,方便調(diào)試。當(dāng)JH1 為低電平時 2 腳導(dǎo)通, LED 指示燈亮。同時場效應(yīng)管柵極為高,場效應(yīng)管導(dǎo)通 24V 電流流過電機(jī) ,電機(jī)工作,否則電機(jī)不工作。 D D2 分別為電機(jī)和場效應(yīng)管的繼流二極管,防止 不被反向電壓擊穿 。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 10 壓力傳感器電路 圖 2- 7 壓力傳感器電路 圖 MPXV7002DP為 小型封裝 (SOP)壓阻式傳感器是最新型的單片式硅壓力傳感器,可廣泛用于各種應(yīng)用,可提供與被測壓力成正比、精確的高電平模擬輸出信號。可用于測量正壓和負(fù)壓。此外, 本實(shí)驗(yàn) 采用特定 輸出 的 零位偏移替代了傳統(tǒng)的 0V,該新系列傳感器每個端口允許測量的壓力高達(dá) 7kPa,也適用于真空檢測 。該電源也是使用外接的 12V 開關(guān)電源在經(jīng)過 Ua7805 三端穩(wěn)壓管,輸出 5V 電壓,同時還增加了濾波和 LED 指示燈。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 11 差動放大電路 圖 2- 7 差動放大電路 圖 電源電路采用 2 個二極管 VD1 VD2 限幅保護(hù)作用。瓷片電容 C3 至 C6 100PF并聯(lián)在正負(fù)電源上起高頻抗干擾的作用。正負(fù)電源分別用 LED1 LED2 指示,并且LED1 LED2 分別串聯(lián)一個 限流電阻 .差動放大電路采用 3 個集成運(yùn)算放大器組成,調(diào)節(jié) RW1改變放大倍數(shù),調(diào)節(jié)電位器 RW2 可使失調(diào)電壓為零, UA741 集成運(yùn)算放大器構(gòu)成 電壓跟隨器 。放大信號,緩沖隔離,阻抗匹配的 作用。 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 12 3 控制程序設(shè)計 程序流程圖設(shè)計 開 始A D 采 集 壓 力 傳 感 器電 壓 值數(shù) 碼 管 顯 示 設(shè) 定 壓力 值 和 實(shí) 測 壓 力 值是 否 設(shè) 定 壓 力值 ?是 否 啟 動 風(fēng)扇 ?是 否 檢 測 到 有人 ?是 否 增 大 壓力 ?是 否 減 小 壓力 ?風(fēng) 扇 啟 動P I 算 法 處 理 使 穩(wěn) 定在 設(shè) 定 值否是是壓 力 相 應(yīng) 加 減 , 并返 回 壓 力 設(shè) 定 值否否否是是結(jié) 束圖 3- 1 程序流程圖 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 13 程序設(shè)計介紹 程序功能 CLK : in std_logic 。100MHZ 時鐘信號 RESET : in std_logic 。 人體熱釋電 INFRARED_RECEIVE : in std_logic。紅外人體感應(yīng)輸出信號 INF_LED : out std_logic。人體紅外熱釋電感應(yīng)到就燈亮 按鍵控制 KEY_IN : in std_logic_vector(3 downto 0)。四個按鍵 AD7991 采樣 LED3:out std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 SCL : out std_logic。 AD_CONVERSION_SPEED :out std_logic。ad 采樣速度,用于觀察 電機(jī)控制 PWM_OUT : out std_logic。 數(shù)碼管顯示 DOT: out std_logic。小數(shù)點(diǎn) LED7 :out std_logic_vector(6 downto 0)。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg 按鍵子程序 ponent ManyKeys port( CLK : in std_logic。 RESET : in std_logic。 KEY_IN : in std_logic_vector(3 downto 0)。四個按鍵 KEY_OUT : out std_logic_vector(3 downto 0) 按鍵輸出指示 ,對應(yīng) key1~key4 )。 end ponent 。 AD 采樣 ponent ack_check port( CLK : in std_logic。 100MHZ RESET : in std_logic。 Sampling_fre: out std_logic。AD7991 每次轉(zhuǎn)換標(biāo)志 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 14 LED3:out std_logic。轉(zhuǎn)換成功標(biāo)志 SDA : inout std_logic。 SCL : out std_logic。 AD_CONVERSION_SPEED :out std_logic。 DATA_OUT: out std_logic_vector(11 downto 0) )。 end ponent 。 電機(jī)控制程序 ponent Motor_Control port( CLK : in std_logic。 RESET : in std_logic。 KEY1,KEY2,KEY3,KEY4 : in std_logic 。四個獨(dú)立按鍵 SAMPLING_FLAG : in std_logic。AD7991 每次采樣標(biāo)志 INFRARED_RECEIVE : in std_logic。紅外熱釋電接收信號 INF_LED : out std_logic。人體紅外熱釋電感應(yīng)到就燈亮 SAMPLING_DATA : in std_logic_vector(11 downto 0)。AD7991 每次采樣輸出的 12bits 數(shù)據(jù) SMG_DATA_SV : out std_logic_vector(11 downto 0)。給數(shù)碼管顯示的設(shè)定數(shù)據(jù); SMG_DATA_PV : out std_logic_vector(11 downto 0)。給數(shù)碼管顯示的測量值 PWM_DUTY : out std_logic_vector(6 downto 0) 控制 PWM的數(shù)值 )。 end ponent 。 PWM 控制 ponent PWM port( CLK : in std_logic。 RESET : in std_logic。 DUTY : in std_logic_vector(6 downto 0)。從 0~100% PWM_OUT : out std_logic )。 end ponent 。 數(shù)碼管顯示 ponent Smg_Display port( CLK :in std_logic。100MHZ 杭州電子科技大學(xué)繼續(xù)教育學(xué)院畢業(yè)設(shè)計(論文) 15 RESET : in std_logic。 DATA_SV : in std_logic_vector(11 downto 0)。 DATA_PV : in std_logic_vector(11 downto 0)。 DOT: out std_logic。 MSB LSB LED7 :out std_logic_vector(6 downto 0)。gfedcba Anode BIT8 :out std_logic_vector(0 to 7)bit8(7) to the first smg )。 AD 轉(zhuǎn)換 AD轉(zhuǎn)換采用的是 12bits 的串行 iic協(xié)議的 AD7991, AD7991 可以在三種模式下運(yùn)行,分別是 ,快速模式,高速模式,對應(yīng)的時鐘最高分別為 100K, 400K,和。 ; SDA 和 SCL 分別為 AD7991 的數(shù)據(jù)線和時鐘線; 電機(jī)控制 1. 紅外熱釋電要求選擇可重復(fù)觸發(fā)模式,即 BISS001 的 A=1,選擇高電平就可以;要求人不停地移動 2. 才能重復(fù)觸發(fā)使輸出為高電平,平常為低電平,只有突然感應(yīng)到人體紅外線才能觸發(fā)為高 電平;之后又恢復(fù)到低電平
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1