freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)基于fpga的vga圖像漢字顯示及_ps_2鍵盤控制設(shè)計(jì)與應(yīng)用-文庫(kù)吧資料

2025-06-04 07:08本頁(yè)面
  

【正文】 tical counter process(clk) begin if (rising_edge(clk)) then if (ht = 640+8 ) then if(vt 525) then vt = vt + 1。 end if。)。 else ht = (others = 39。 vtout = vt。 signal vt : std_logic_vector(9 downto 0)。 end vga640480。 htout : out std_logic_vector(9 downto 0)。 b : out STD_LOGIC。 r : out STD_LOGIC。 hs : out STD_LOGIc。 use 。 VGA 顯示模塊 library IEEE。 i_keyboard :keyboard port map(clk=clk50MHz,kb_clk=clk2, kb_data=data,keycode=code1,flag=flag1)。 i_mid : mid PORT MAP(clk = clk25MHz, qin = rgb, htin = hpos, vtin = vpos, qout =rgb1,romaddr_control = romaddr,code=code1,flag=flag1,key1=key1,key2=key2,key3=key3,key4=key4)。 i_rom2: rom2 PORT MAP(clock = clk25MHz, address = romaddr1, q =rgb2)。 end process。 then clk25MHz = not clk25MHz 。event and clk50MHz = 39。 signal flag1: std_logic。 signal tyy : std_logic_vector(8 downto 0)。 signal hpos, vpos : std_logic_vector(9 downto 0)。 signal romaddr1 : STD_LOGIC_VECTOR(13 downto 0)。 signal clk1Hz : std_logic。 signal rgb3 : STD_LOGIC_VECTOR(2 downto 0)。 signal rgb1 : STD_LOGIC_VECTOR(2 downto 0)。 end ponent。 flag:in std_logic。 qout : out std_logic_vector(2 downto 0)。 htin : in std_logic_vector(9 downto 0)。 qin : in std_logic_vector(2 downto 0)。 end ponent。 qout : out std_logic_vector(2 downto 0)。 htin : in std_logic_vector(9 downto 0)。 xx: in std_logic_vector(8 downto 0)。 ponent mid1 port ( clk : in std_logic。 q : OUT STD_LOGIC_VECTOR(2 downto 0) )。 ponent rom2 圖象數(shù)據(jù) ROM,數(shù)據(jù)線 12 位;地址線 14 位 PORT(clock : IN STD_LOGIC。 q : OUT STD_LOGIC_VECTOR(2 downto 0) )。 ponent imgrom 圖象數(shù)據(jù) ROM,數(shù)據(jù)線 12 位;地址線 14 位 PORT(clock : IN STD_LOGIC。 ps/鍵盤鍵值輸出 flag: out std_logic )。 ps/2 鍵盤時(shí)鐘輸入 kb_data: in std_logic。 ponent keyboard VGA 顯示控 制模塊 port ( clk: in std_logic。 htout, vtout : OUT STD_LOGIC_VECTOR(9 downto 0) )。 hs, vs : OUT STD_LOGIC。 ARCHITECTURE modelstru OF img IS ponent vga640480 VGA 顯示控制模塊 PORT(clk : IN STD_LOGIC。 b : out STD_LOGIC)。 hs, vs : OUT STD_LOGIC。 ENTITY img IS port ( clk50MHz ,clk1,clk2 ,data: IN STD_LOGIC。 圖象顯示頂層程序 USE 。 可編程器件 EDA 技術(shù)與實(shí)踐 機(jī)械工業(yè)出版社, 20xx [3] 趙世霞、楊豐、劉揭生。 此次課程設(shè)計(jì)師對(duì)我們理論的一次大檢驗(yàn)也是理論運(yùn)用與實(shí)際的完美展現(xiàn)。 4.體會(huì)與感受 在為期三周的課程設(shè)計(jì)中,進(jìn)一步鞏固了曾經(jīng)所學(xué)的 EDA 相關(guān)知識(shí),并且第一次了解學(xué)習(xí)了 VGA 相關(guān)原理。后檢查發(fā)現(xiàn)并沒有編寫彈起標(biāo)志位,加入 FLAG 變量與通碼一起進(jìn)行運(yùn)算后成功解決。查閱資料后在程序中加入了中間量進(jìn)行中轉(zhuǎn)后,實(shí)現(xiàn)了模塊間的調(diào)用連接。 編寫程序時(shí),出現(xiàn)過模塊無效的錯(cuò)誤。出現(xiàn)了圖片顯示紊亂的錯(cuò)誤。 后 改用對(duì)圖片坐標(biāo)判斷,然后進(jìn)行XY 運(yùn)算后功能正常,同時(shí) 使得程序更加簡(jiǎn)單易讀 。 按預(yù)想情況,圖片在觸及屏幕邊沿是反彈,實(shí)際情況是直接消失, 一段時(shí)間后重新出現(xiàn)在初始位置開始運(yùn)動(dòng)。即 line1, Pixel : integer range 0 to 15。點(diǎn)陣數(shù)據(jù)程序直接編寫,不額外添加 ROM 存儲(chǔ)。 本模塊實(shí)現(xiàn)方法為對(duì)特定區(qū)域進(jìn)行黑白兩色點(diǎn)陣顯示漢字。 KEY3(S3):圖片左移與加速。對(duì)應(yīng)操作為: KEY1(S1):圖片自動(dòng)移動(dòng)開始與加速。end if。)then d:=0。 if(key4=39。e:=0。039。end if。)then d:=d1。 if(key2=39。e:=e+1。039。139。 具體語(yǔ)句為 if(clkm39。 按鍵 控制模塊 按鍵 控制采用的電平觸發(fā)機(jī)制, 1Hz 左右的掃描頻率,適合于人們的使用習(xí)慣。 A:圖片右移。對(duì)應(yīng)操作為: W:圖片上移。j:=j+1。j:=j1。j:=0。j:=0。 flag amp。( FLAG 按下為 0,彈起為 1。 flag 用于對(duì)鍵盤按下彈起進(jìn)行判斷以避免誤操作。即 code:in std_logic_vector(7 downto 0)。然后由 ROM 內(nèi)存地址控制模塊 產(chǎn)生相應(yīng)操作。因此僅僅只需要對(duì)鍵盤的按鍵進(jìn)行通碼讀取并進(jìn)行相應(yīng)操作即可。本設(shè)計(jì)存儲(chǔ)的是一幅 128*128 的圖片,所以定義了一輸出為 3 位,大小為 128*128=16384 byte 的 rom 內(nèi)存。 拋開以上等式,按照正常思路,我們可以得到圖片控制地址: addr_control=( vt count_tempv ) * 256 + ( ht – count_temph ) 通過分析整個(gè) 文件,會(huì)發(fā)現(xiàn)其實(shí)上面代 碼是該等式的高效等效實(shí)現(xiàn)。 count_tempv(5 downto 0)和 count_temph(7 downto 0)同理可以理解其含義。 (ht(6 downto 0)count_temph(6 downto 0))。以下通過一段關(guān)鍵代碼詳細(xì)講解其實(shí)現(xiàn)原理。在此區(qū)域以外顯示指定顏色,例如黑色、藍(lán)色等,作為一個(gè)背景色顯示。該模塊以可以 VHDL 語(yǔ)言實(shí)現(xiàn)。顯示模塊在 VGA 顯示控制器中起至關(guān)重要的作 用。 VGA工業(yè)標(biāo)準(zhǔn)要求的頻率是:時(shí)鐘頻率為 ,行頻為 31469Hz,場(chǎng)頻為。 VGA
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1