freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計基于fpga的vga圖像漢字顯示及_ps_2鍵盤控制設(shè)計與應(yīng)用-wenkub.com

2025-05-20 07:08 本頁面
   

【正文】 end behave。039。039。 flag=39。 process(clk) 對接收到的 ps/2 鍵盤數(shù)據(jù)分析處理 begin if (clk39。 end if。 else接收數(shù)據(jù)位 shiftdata=kb_data amp。 else dataerror=39。 end if。 dataerror=39。) then if t =9 then 接受停止位 if (kb_data=39。 elsif (kbclkfall=39。139。039。139。 end if。event and clk =39。 signal t :std_logic_vector(3 downto 0)。 signal kbcodereg :std_logic_vector(7 downto 0)。ps/鍵盤鍵值輸出 flag: out std_logic )。 entity keyboard is port ( clk: in std_logic。 鍵盤控制模塊 library ieee。 tx=x。039。 if(y=480long)then m=39。 end if。 else x:=xe。 end if。 if(m=39。end if。 if(key4=39。039。)then d:=d1。e:=e+1。139。 end case。j:=j1。j:=0。139。 variable o,j:integer range 0 to 1:=0。 end if。 else clk_count =0000000000000000000000001。139。 end if。 end if。input else qout_temp=000。 count_tempv=vt。 qout = qout_temp。 constant wide: integer:=128。 signal m,t: std_logic 。 signal count_tempv : std_logic_vector(9 downto 0)。 signal vt : std_logic_vector(9 downto 0)。 flag:in std_logic。 htin : in std_logic_vector(9 downto 0)。 key1,key2,key3,key4 : in std_logic。 use 。 end if。039。 b=rgbin(0)or rgbin1(0)。 end if。 this is vs pulse process(vt) begin if ((vt = 480+8+2) and (vt 480+8+2+2)) then vs = 39。139。 end process。)。 end process。039。 begin Assign pin htout = ht。 vtout : out std_logic_vector(9 downto 0) )。 g : out STD_LOGIC。 entity vga640480 is port ( clk : in STD_LOGIC。 end。 i_rom : imgrom PORT MAP(clock = clk25MHz, address = romaddr, q = rgb)。 end if。 BEGIN process(clk50MHz) begin if clk50MHz39。 signal txx : std_logic_vector(8 downto 0)。 signal romaddr : STD_LOGIC_VECTOR(13 downto 0)。 signal rgb2 : STD_LOGIC_VECTOR(2 downto 0)。 romaddr_control : out std_logic_vector(13 downto 0) )。 vtin : in std_logic_vector(9 downto 0)。 ponent mid port ( clk : in std_logic。 vtin : in std_logic_vector(9 downto 0)。 qin1 : in std_logic_vector(2 downto 0)。 address : IN STD_LOGIC_VECTOR(13 downto 0)。 address : IN STD_LOGIC_VECTOR(13 downto 0)。 ps/2 鍵盤數(shù)據(jù)輸入 keycode: out std_logic_vector(7 downto 0)。 end ponent。 rgbin ,rgbin1: IN STD_LOGIC_VECTOR(2 downto 0)。 r,g : OUT STD_LOGIC。 use 。 5. 參考文獻 [1] 侯伯亨 .VHDL 硬件描述語言與數(shù)字邏輯電路設(shè)計[ M] .西安:西安 電子科技大學(xué) 出版社, 1999. [2] 李國洪、沈明山。 經(jīng)過多次調(diào)試以及同學(xué)、老師的幫助下,解決了上訴遇到的問題 ,由于時間有限 ,實現(xiàn)初步功能,沒有達到完美效果,基本符合本次設(shè)計要求。檢查后發(fā)現(xiàn)為自己對模塊調(diào)用方法的陌生。 在測試圖片顯示的過程中,由于對 VGA 顯示原理沒有完全理解。 3.結(jié)果分析和調(diào)試 因為 模塊較多,調(diào)試過程中出現(xiàn)中出現(xiàn)了不少問題。 顯示區(qū)域為屏幕94*16。 KEY2(S2):圖片自動移動減速與停止。e:=e+1。end if。 if(key3=39。039。)then d:=d+1。event and clkm=39。 D:圖片左移。d (其中 O 為圖片縱坐標控制變量, J 為橫坐標控制變量 ) 即可完成 WASD 對圖片移動的控制。s when x01C = o:=0。 code is when x01D = o:=o+1。 Code 用與對鍵盤 DATA 口數(shù)據(jù)進行傳輸以及函數(shù)運算。 在實際操作中,我加入了兩個中間變量對數(shù)據(jù)進行處理。 鍵盤控制模塊 本模塊主要實現(xiàn)由鍵盤上的 WASD 控制圖片上下左右移動以及速度調(diào)節(jié)的功能。 Vt、 ht 實際上可以分別理解為屏幕顯示的行和列計數(shù)器, count_tempv 和 count_temph 可以分別理解為圖片在屏幕上顯示的起始行和列坐標。 的計算原 理: romaddr_control = (vt(6 downto 0)count_tempv(6 downto 0)) amp。 ROM 內(nèi)存地址控制模塊 整個顯示思路是在 640*480分辨率的顯示器上開辟一 128*128的顯示區(qū)域來 顯示圖片,因為顯示的圖片的大小為 128*128。圖為行 HS、場 VS 時序圖
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1