freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)基于fpga的vga圖像漢字顯示及_ps_2鍵盤控制設(shè)計(jì)與應(yīng)用-wenkub

2023-06-01 07:08:38 本頁面
 

【正文】 圖 行 HS、場(chǎng) VS 時(shí)序圖 顯示模塊是整個(gè)顯示控制器的重要組成部分,各個(gè)模塊的輸出數(shù)據(jù)都要經(jīng)過模塊處理后送到顯示器。 2. 模塊設(shè)計(jì)及仿真 VGA 顯示 模塊 圖片想要在顯示器上顯示出來,它主要需要 5 個(gè)信號(hào),行同步信號(hào)( HS)、場(chǎng)同步信號(hào)( VS)和 RGB 三基色信號(hào)。發(fā)送按幀格式。 鍵盤 /鼠標(biāo)接口的數(shù)據(jù)線和時(shí)鐘線采用集電極開路結(jié)構(gòu),平時(shí)都是高電平當(dāng)這些設(shè)備等待發(fā)送數(shù)據(jù)時(shí),它首先檢查 clock 是否為高。本設(shè)計(jì)選擇的是 800*600的分辨率。 1. 方案設(shè)計(jì)及工作原理 VGA 模塊方案設(shè)計(jì) 采用模塊化設(shè)計(jì)方法,我們對(duì) VGA 圖形控制器按功能進(jìn)行層次劃分。 而 FPGA 具有功能強(qiáng)大,開發(fā)過程投資小、周期短等特點(diǎn),成為當(dāng)今硬件設(shè)計(jì)的首選方式之一。 VGA(視頻圖形陣列 )作為一種標(biāo)準(zhǔn)的顯示接口得到廣泛的應(yīng)用。 電子信息工程專業(yè)綜合設(shè)計(jì) (報(bào)告) (課 程 設(shè) 計(jì)) 題目 基于 FPGA 的 VGA 圖像 漢字 顯示及PS/2 鍵盤控制設(shè)計(jì)與應(yīng)用 二級(jí)學(xué)院 專 業(yè) 班 級(jí) 學(xué)生姓名 學(xué) 號(hào) 指導(dǎo)教師 時(shí) 間 基于 FPGA 的 VGA 圖像 漢字 顯示及 PS/2鍵盤控制設(shè)計(jì)與應(yīng)用 摘 要 : 現(xiàn)在社會(huì),以計(jì)算機(jī)技術(shù)為核心的信息技術(shù)迅速發(fā)展,以及信息的爆炸式增長(zhǎng),人類獲得視覺信息的很大一部分是從各種各樣的電子顯示器件上獲得的,對(duì)這些電子顯示器件的要求也越來越高,在這些諸多因素的驅(qū)動(dòng)下,顯示技術(shù)也取得了飛速的發(fā)展。本文依據(jù) VGA顯示原理,介紹了利用 FPGA 實(shí)現(xiàn)對(duì) VGA圖形控制器 VHDL設(shè)計(jì)及 PS/2鍵盤與按鍵對(duì)顯示圖象控制的方法。 VGA(視頻圖形陣列)作為一種標(biāo)準(zhǔn)的顯示接口得到廣泛地應(yīng)用。本設(shè)計(jì)的VGA 控制器主要由以下模塊組成: VGA 時(shí)序控制模塊、 ROM 內(nèi)存地址控制模塊、 ROM 內(nèi)存模塊、按鍵掃描控制模塊。根據(jù)相應(yīng)協(xié)議,在“ VGA 時(shí)序控制模塊”對(duì)行掃描信號(hào) HS 和場(chǎng)掃描信號(hào) VS 進(jìn)行相應(yīng)的時(shí)序控制(具體控制詳細(xì)信息見“ VGA 驅(qū)動(dòng)原理”資料文檔)。如果為低 ,則認(rèn)為 PC 抑制了通訊,此時(shí)它緩沖數(shù)據(jù)直到獲得總線的控制權(quán)。數(shù)據(jù)位在 clock 為高電平時(shí)準(zhǔn)備好,在 clock 下降沿被 PC 讀入。 VGA 時(shí)序控制模塊是須嚴(yán)格按照 VGA時(shí)序標(biāo)準(zhǔn)產(chǎn)生相應(yīng)的脈沖信號(hào)。顯示模塊在 VGA 顯示控制器中起至關(guān)重要的作 用。在此區(qū)域以外顯示指定顏色,例如黑色、藍(lán)色等,作為一個(gè)背景色顯示。 (ht(6 downto 0)count_temph(6 downto 0))。 拋開以上等式,按照正常思路,我們可以得到圖片控制地址: addr_control=( vt count_tempv ) * 256 + ( ht – count_temph ) 通過分析整個(gè) 文件,會(huì)發(fā)現(xiàn)其實(shí)上面代 碼是該等式的高效等效實(shí)現(xiàn)。因此僅僅只需要對(duì)鍵盤的按鍵進(jìn)行通碼讀取并進(jìn)行相應(yīng)操作即可。即 code:in std_logic_vector(7 downto 0)。( FLAG 按下為 0,彈起為 1。j:=0。j:=j1。對(duì)應(yīng)操作為: W:圖片上移。 按鍵 控制模塊 按鍵 控制采用的電平觸發(fā)機(jī)制, 1Hz 左右的掃描頻率,適合于人們的使用習(xí)慣。139。e:=e+1。)then d:=d1。039。 if(key4=39。end if。 KEY3(S3):圖片左移與加速。點(diǎn)陣數(shù)據(jù)程序直接編寫,不額外添加 ROM 存儲(chǔ)。 按預(yù)想情況,圖片在觸及屏幕邊沿是反彈,實(shí)際情況是直接消失, 一段時(shí)間后重新出現(xiàn)在初始位置開始運(yùn)動(dòng)。出現(xiàn)了圖片顯示紊亂的錯(cuò)誤。查閱資料后在程序中加入了中間量進(jìn)行中轉(zhuǎn)后,實(shí)現(xiàn)了模塊間的調(diào)用連接。 4.體會(huì)與感受 在為期三周的課程設(shè)計(jì)中,進(jìn)一步鞏固了曾經(jīng)所學(xué)的 EDA 相關(guān)知識(shí),并且第一次了解學(xué)習(xí)了 VGA 相關(guān)原理。 可編程器件 EDA 技術(shù)與實(shí)踐 機(jī)械工業(yè)出版社, 20xx [3] 趙世霞、楊豐、劉揭生。 ENTITY img IS port ( clk50MHz ,clk1,clk2 ,data: IN STD_LOGIC。 b : out STD_LOGIC)。 hs, vs : OUT STD_LOGIC。 ponent keyboard VGA 顯示控 制模塊 port ( clk: in std_logic。 ps/鍵盤鍵值輸出 flag: out std_logic )。 q : OUT STD_LOGIC_VECTOR(2 downto 0) )。 q : OUT STD_LOGIC_VECTOR(2 downto 0) )。 xx: in std_logic_vector(8 downto 0)。 qout : out std_logic_vector(2 downto 0)。 qin : in std_logic_vector(2 downto 0)。 qout : out std_logic_vector(2 downto 0)。 end ponent。 signal rgb3 : STD_LOGIC_VECTOR(2 downto 0)。 signal romaddr1 : STD_LOGIC_VECTOR(13 downto 0)。 signal tyy : std_logic_vector(8 downto 0)。event and clk50MHz = 39。 end process。 i_mid : mid PORT MAP(clk = clk25MHz, qin = rgb, htin = hpos, vtin = vpos, qout =rgb1,romaddr_control = romaddr,code=code1,flag=flag1,key1=key1,key2=key2,key3=key3,key4=key4)。 VGA 顯示模塊 library IEEE。 hs : out STD_LOGIc。 b : out STD_LOGIC。 end vga640480。 vtout = vt。)。 this is Vertical counter process(clk) begin if (rising_edge(clk)) then if (ht = 640+8 ) then if(vt 525) then vt = vt + 1。 end if。 this is hs pulse process(clk) begin if (rising_edge(clk)) then if((ht= 640+8+8) and (ht640+8+8+96 )) then hs = 39。 end if。039。 end process。 else r=39。 b=39。 end process。 use 。 qin : in std_logic_vector(2 downto 0)。 vtin : in std_logic_vector(9 downto 0)。 romaddr_control : out std_logic_vector(13 downto 0) )。 signal q
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1