【正文】
課程設(shè)計(jì)圖片 。 end process。 end if。 else flag=39。 keycode(7 downto 0)=shiftdata(7 downto 0)。 else flag=39。139。) then if (t=8) then if (shiftdata=11110000) then 接收到斷碼的“ F0” ,則表示有鍵彈起,否則表示有按鍵按下 keycode(7 downto 0)=shiftdata(7 downto 0)。event and clk=39。 end process。 end if。 t=t+1。 shiftdata(7 downto 1)。 t=t+1。139。039。 t=t+1。139。039。039。139。139。139。039。 t=0000。) then dataing=39。and kb_data=39。and dataing=39。) then if (kbclkfall =39。event and clk=39。 end process。 kbclkfall=kbclkreg and (not kb_clk)。139。 begin ps/2 鍵盤時(shí)鐘下降沿 捕獲進(jìn)程 process(clk) begin if (clk39。 signal parity :std_logic。 signal kbclkreg :std_logic。 signal dataing :std_logic。 數(shù)據(jù)幀出錯(cuò)信號(hào) signal shiftdata :std_logic_vector(7 downto 0)。 end keyboard。 ps/2 鍵盤數(shù)據(jù)輸入 keycode: out std_logic_vector(7 downto 0)。 系統(tǒng)時(shí)鐘輸入 kb_clk: in std_logic。 use 。 use 。 end one。 ty=y。 end if。end if。 if(y=1) then m=39。139。 end if。 if(x=1) then t=39。139。 end if。)then x:=x+e。 if(t=39。 else y:=yd。039。 if(z=111110) then z:=0。 end if。e:=e+1。039。end if。)then d:=d+1。 if(key3=39。e:=e1。039。end if。)then d:=d+1。) then if(key1=39。event and clkm=39。 end if。d 100 when others = null。a 973 when x023 = o:=0。s 115 when x01C = o:=0。w 119 when x01B = o:=d1。 code is when x01D = o:=o+1。 ) then case 000amp。event and clkm=39。 variable x : std_logic_vector(9 downto 0) 。 variable z,d,e: integer。 end process。 end if。 clkm = 39。039。) then if(clk_count 1100110111111110011000000)then clk_count = clk_count + 1。event and clk=39。 end process。 end if。 if (( vt ty)and ( vt ty+long))then if((httx1)and (httx+2)) or ((httx + wide2 )and (httx + wide+1 )) then qout_temp=100。 end if。 end if。 elsif((httx)and (httx + wide )) then qout_temp=qin。 end if。 process(clk) begin if (rising_edge(clk)) then if((vt = ty) and( ht=tx ) )then count_temph=ht。 romaddr_control = (vt(6 downto 0)count_tempv(6 downto 0)) amp。 vt = vtin。 constant long: integer:=128。 signal tempyy: integer range 0 to 480。 signal clkm: std_logic。 signal ty: std_logic_vector(8 downto 0)。 signal clk_count: std_logic_vector(24 downto 0)。 signal count_temph : std_logic_vector(9 downto 0)。 signal qout_temp : std_logic_vector(2 downto 0)。 architecture one of mid is signal ht : std_logic_vector(9 downto 0)。 romaddr_control : out std_logic_vector(13 downto 0) )。 code:in std_logic_vector(7 downto 0)。 vtin : in std_logic_vector(9 downto 0)。 yy: in std_logic_vector(8 downto 0)。 qin : in std_logic_vector(2 downto 0)。 entity mid is port ( clk : in std_logic。 use 。 ROM 地址及圖片運(yùn)動(dòng)控制模塊 library ieee。 end process。 end if。 b=39。 g=39。 else r=39。 g=rgbin(1)or rgbin1(1)。 end process。139。039。 end process。 end if。 else hs = 39。 this is hs pulse process(clk) begin if (rising_edge(clk)) then if((ht= 640+8+8) and (ht640+8+8+96 )) then hs = 39。 end if。 end if。039。 this is Ver