freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

電子信息課程報(bào)告-ps2鍵盤接口設(shè)計(jì)與vga顯示控制-文庫吧資料

2024-10-15 04:02本頁面
  

【正文】 = NUM = 01111001。v 118 when x01D = NUM = 01110111。t 116 第 16 頁 共 21 頁 when x03C = NUM = 01110101。r 114 when x01B = NUM = 01110011。p 112 when x015 = NUM = 01110001。n 110 when x044 = NUM = 01101111。l 108 when x03A = NUM = 01101101。j 106 when x042 = NUM = 01101011。h 104 when x043 = NUM = 01101001。f 102 when x034 = NUM = 01100111。d 100 when x024 = NUM = 01100101。b 98 when x021 = NUM = 01100011。退格 8 when x01C = NUM = 01100001。空格 32 when x05A = NUM = 00001101。. 46 when x04A = NUM = 00101111。 27 when x041 = NUM = 00101100。 59 when x052 = NUM = 00011011。\ 92 when x04C = NUM = 00111011。[ 91 when x05B = NUM = 01011101。= 61 when x04E = NUM = 01011111。9 57 when x00E = NUM = 01111110。7 55 when x03E = NUM = 00111000。5 53 when x036 = NUM = 00110110。3 51 when x025 = NUM = 00110100。1 49 when x01E = NUM = 00110010。 datain is when x045 = NUM = 00110000。) then case 000amp。event and clk40MHZ=39。 end process。 end if。 then if (datain = x12or datain = x59 )then 接收到Caps 后 Caps=not Caps。event and isfo=39。 signal Caps: std_logic。 定義大小寫切換標(biāo)志,以 led 燈亮、滅來指示 end transform。 dataout : out std_logic_vector(7 downto 0)。 clk40MHZ : in std_logic。 use 。 use 。 end behave。 end if。039。 end if。039。 keycode(7 downto 0)=shiftdata(7 downto 0)。) then if (t=8) then if (shiftdata=11110000) then 接收到斷碼的“ F0” ,則表示有鍵彈起,否則表示有按鍵按下 flag=39。event and clk=39。 end process。 end if。 t=t+1。 shiftdata(7 downto 1)。 t=t+1。139。039。 t=t+1。139。039。039。139。139。139。039。 t=0000。) then dataing=39。and kb_data=39。and dataing=39。) then if (kbclkfall =39。event and clk=39。 end process。 kbclkfall=kbclkreg and (not kb_clk)。139。 begin ps/2 鍵盤時(shí)鐘下降沿捕獲進(jìn)程 process(clk) begin if (clk39。 signal parity :std_logic。 signal kbclkreg :std_logic。 signal dataing :std_logic。 數(shù)據(jù)幀出錯(cuò)信號(hào) signal shiftdata :std_logic_vector(7 downto 0)。 end keyboard。 ps/2 鍵盤數(shù)據(jù)輸入 keycode: out std_logic_vector(7 downto 0)。 系統(tǒng)時(shí) 鐘輸入 kb_clk: in std_logic。 use 。 use 。 S2 為 VGA 復(fù)位按鍵; pll25 鎖相環(huán)分出兩種時(shí)鐘頻率,分別提供給鍵盤( 25MHZ? VGA( 65MHZ), transform 為 VGA 部分的掃描碼轉(zhuǎn) ASCII 碼模塊,其 led 輸 出端口為字符大小寫轉(zhuǎn)換指示燈。本次課程設(shè)計(jì)也讓認(rèn)識(shí)到只有真正的理解一個(gè)東西的工作原理過后,才知道如何下手去解決問題,盲目地摘抄別人的東西 而沒有深究起原理 最終還是一無所獲??傊O(shè)計(jì)過程中困難是多種多樣的,但我們沒放棄,通過查閱資料、詢問老師、小組討論、商量都一一解決了。通過此信號(hào)的變化來控制 VGA 中 RAM 的讀寫,實(shí)現(xiàn)挨個(gè)字符的顯示,通過此信號(hào)的變化也可以來設(shè)置英文字符大小寫切換。在此過程中我們也遇到了許多困難 , 首先對(duì) PS2 鍵盤的操作,我們根據(jù)查閱資料逐步對(duì)它有了了解,能夠根據(jù)自己的理解修改老師的程序,在 VGA 顯示時(shí), 最初也只能在頻幕的第一個(gè)位置顯示字符,不能實(shí)現(xiàn)挨個(gè)字符顯示的效果。 五、 體會(huì) 通過本次課程設(shè)計(jì),讓我對(duì) FPGA 有了進(jìn)一步的了解,雖然我們只做了一些最底層、最基本的設(shè)計(jì),也只接觸了那么一些小小的內(nèi)容,但通過整個(gè)設(shè)計(jì)過程使我深深地了解了 FPGA 的強(qiáng)大功能 ,認(rèn)識(shí)了一些單元的工作原理。 在不斷調(diào)試過程中解決了許多的問題。最后觀察操作結(jié)果,反復(fù)調(diào)試直到達(dá)到設(shè)計(jì)要求。 四、 結(jié)果分析和調(diào)試 雙擊 Quartus II 軟件快捷圖標(biāo)進(jìn)入 Quartus II 集成開發(fā)環(huán)境,新建工程項(xiàng)目文件,并在該項(xiàng)目下新建 VHDL 與 Verilog HDL 源程序文件,輸入程序代碼,建立模塊層次結(jié)構(gòu)圖,然后為該工程項(xiàng)目選擇一個(gè)目標(biāo)器件并對(duì)相應(yīng)的管腳進(jìn)行鎖定,保存后編譯。 end if。 then if (datain = x12or datain = x59 )then 接收到 Caps 后 Caps=not Caps。event and isfo=39。還在此部分進(jìn)行了大小 寫操作。 end else begin dis_x=dis_x1。 end end 退 格 第 9 頁 共 21 頁 if(data_input == 8) // 退格 8 begin if(dis_x == 0) begin dis_x=141。 if(dis_y == 48) begin dis_y=0。由于采用 1024 768 的顯示模式,選用 65Mhz 的時(shí)鐘頻率。設(shè)計(jì)有 red[2..0], green[2..0],blue[2..0],和行掃描,場(chǎng)掃描信號(hào)輸出端口。 上面提過,不同的分辨率,時(shí)序上的時(shí)間是不一樣的。 幀數(shù)據(jù)時(shí)序與行時(shí)序類似,也就是顯示一屏數(shù)據(jù)的時(shí)序。其中 a、 b、 c、 d均為時(shí)間信號(hào),這些信號(hào)根據(jù)需要顯示的分辨率不同而不同。第一:產(chǎn)生行同步 HSYNC。 第 7 頁 共 21 頁 行數(shù)據(jù)時(shí)序,顧名思義,也就是顯示一行數(shù)據(jù)的時(shí)序。 時(shí)序介紹 VGA的時(shí)序如圖 2圖 22 所示。對(duì)于普通的 VGA 顯示器,其引出線的共含 5 個(gè)信號(hào): G,R,B(三基色信號(hào)), HS(行同步信號(hào)), VS(場(chǎng)同步 號(hào))。 end behave。 end if。039。 end if。039。139。139。這里第 6 頁 共 21 頁 采用接收 PS/2 鍵盤按鍵彈起來斷碼中的通碼,其數(shù)據(jù)處理過程如下:
點(diǎn)擊復(fù)制文檔內(nèi)容
醫(yī)療健康相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1