freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

vga圖像顯示控制器設(shè)計(jì)報(bào)告-文庫(kù)吧資料

2024-09-04 17:34本頁(yè)面
  

【正文】 數(shù)字電路綜合 實(shí)驗(yàn) a sub module which can generate several substates end if。 下面是調(diào)用 UserMode 模塊得到用戶自定義圖形的描述。 end if。描述如下。 end process。 end if。 elsif hpos 640 and vpos 420 then colors0 = 001001。 elsif hpos 640 and vpos 300 then colors0 = 100001。 elsif hpos 640 and vpos 180 then colors0 = 000100。 process(clk25m) state s0 process: horizontal colors begin if(rising_edge(clk25m)) then if hpos 640 and vpos 60 then colors0 = 100100。此處劃分采用了均分方式,只要增加劃分次數(shù)就可得到更多條幅,此處只按要求給出 8種顏色。 數(shù)字電路綜合 實(shí)驗(yàn) end process。 end if。 venable = 39。 else henable = 39。 venable = 39。 process(clk25m) no color when not in 640*480 begin if(rising_edge(clk25m)) then if((ht 640) or (vt 480)) then henable = 39。當(dāng)顯示像素不在定義的 640 480 范圍內(nèi)時(shí),不輸出顏色信號(hào),稱其為消隱區(qū)。 值得說明的是,由于時(shí)鐘誤差在所難免, VGA 標(biāo)準(zhǔn)實(shí)現(xiàn)時(shí)像素的計(jì)數(shù)要求并非一個(gè)像素點(diǎn)都不能差,微小誤差是可以接受的。 end if。 else vsync = 39。 process(vt) vsync begin if (vt = (480+8+2) and vt (480+8+2+2)) then vsync = 39。報(bào)告 第 21頁(yè) 圖 VGA列掃描狀 態(tài)轉(zhuǎn)移圖 列同步信號(hào)產(chǎn)生描述如下。 end process。 end if。 else hsync = 39。 process(clk25m) hsync begin if (rising_edge(clk25m)) then if (ht = (640+8+8) and ht (640+8+8+96)) then hsync = 39。報(bào)告 第 20頁(yè) 圖 VGA行掃描狀態(tài)轉(zhuǎn)移圖 行同步信號(hào)產(chǎn)生描述如下。如圖 。 由 VGA 標(biāo)準(zhǔn)中定義的時(shí)序關(guān)系,可以等效地計(jì)算出像素點(diǎn)的時(shí)序關(guān)系圖。其輸入信號(hào)來自于 PixelCNT模塊的像素位置信號(hào) ht和 vt。 end process。 數(shù)字電路綜合 實(shí)驗(yàn) when s3 = colorstmp = colors3。 when s1 = colorstmp = colors1。 VGAMode 模塊負(fù)責(zé)根據(jù)當(dāng)前狀態(tài)選擇輸出 VGAColor 送入的不同模式下的顏色圖像信號(hào),輸出到 VGA接口。 end if。 when 11 = state = s3。 when 01 = state = s1。 該模塊主要描述狀態(tài)機(jī)的轉(zhuǎn)移,轉(zhuǎn)移條件是 SW1和 SW2的組合值,描述如下。 type states is (s0,s1,s2,s3)。各模塊的 RTL圖參考 。報(bào)告 第 18頁(yè) 圖 QuartusII 生成的總體電路圖 分塊電路的描述 本節(jié)給出分塊電路的硬件描述,對(duì)關(guān)鍵部分進(jìn)行了分析。設(shè)計(jì)是正確的。 圖 是 QuartusII 生成的總體電路圖 ,定義的第二層 5 個(gè)模塊均對(duì)應(yīng)生成了器件,由于 UserMode 模塊是在 VGAColor 模塊里進(jìn)行的例化,故系統(tǒng)綜合時(shí)將其歸并在了 VGAColor 模塊內(nèi)。 vgacolor_port_map: vgacolor port map(clk25m = clk25m, clk100hz = clk100hz, clk1hz = clk1hz, hpos = ht, vpos = vt, sw = sw(7 downto 2),button = bt, colors0 = colors0,colors1 = colors1, colors2 = colors2,colors3 = colors3)。報(bào)告 第 17頁(yè) vt = vt,hsync = hs, vsync = vs, henable = hen, venable = ven)。 pixelt_port_map: pixelt port map(clk25m = clk25m, htout = ht, vtout = vt)。 (r0,r1,g0,g1,b0,b1) = colors。 begin sw = (sw7,sw6,sw5,sw4,sw3,sw2,sw1,sw0)。 end vgapackage。 usercolors: out std_logic_vector(5 downto 0))。 hpos,vpos: in std_logic_vector(9 downto 0)。 end ponent。 sw : in std_logic_vector(5 downto 0)。 hpos,vpos: in std_logic_vector(9 downto 0)。 ponent vgacolor Generate colors for each mode 數(shù)字電路綜合 實(shí)驗(yàn) hsync,vsync,henable,venable : out std_logic)。 ponent vgasig Define vag signal interface as a module port (clk25m: in std_logic。 colors: out std_logic_vector(5 downto 0))。 hen,ven: in std_logic。 ponent vgasmode Define vag mode implement port (clk25m,clk1hz: in std_logic。 htout,vtout : out std_logic_vector(9 downto 0))。 end ponent。 package vgapackage is ponent clock System clock generator port (clk50m: in std_logic。報(bào)告 第 15頁(yè) end vgacontroller。 hs,vs,r0,r1,g0,g1,b0,b1: out std_logic)。 sw0,sw1,sw2,sw3,sw4,sw5,sw6,sw7: in std_logic。描述如下。 只是修改了該子模式就完全實(shí)現(xiàn)了系統(tǒng)設(shè)計(jì)目標(biāo)。實(shí)際測(cè)試過程中也印證了這一點(diǎn)。這樣,整個(gè)系統(tǒng)框架就搭建好了,描述也已完整,只要分別去實(shí)現(xiàn)各個(gè)模塊的接口定義就可以了。 硬件描述時(shí)首先對(duì)頂層模塊 VGAController 進(jìn)行描述。報(bào)告 第 14頁(yè) 系統(tǒng)硬件語(yǔ)言描述 描述思路 第二部分“系統(tǒng)設(shè)計(jì)”中對(duì)系統(tǒng)的功能和邏輯結(jié)構(gòu)、狀態(tài)機(jī)和狀態(tài)轉(zhuǎn)移以及模塊劃分都有了詳細(xì)的敘述,模塊間的耦合和信號(hào)的傳遞關(guān)系已經(jīng)清晰。 值得說明的是, hmov 和 vmov 在實(shí)際硬件語(yǔ)言描述時(shí),要利用選擇器根據(jù)子模式US0、 US US2 的不同進(jìn)行選擇,對(duì)信號(hào)賦值,以解決多重驅(qū)動(dòng)問題,同時(shí)實(shí)現(xiàn)狀態(tài)機(jī) 的設(shè)計(jì)。四個(gè)方向看作四種狀態(tài),依舊采類似頂層狀態(tài)機(jī)的方式實(shí)現(xiàn)。 子模式 US2 是受控運(yùn)動(dòng)。采 數(shù)字電路綜合 實(shí)驗(yàn)此種運(yùn)動(dòng)的關(guān)鍵點(diǎn)在于到達(dá)左右邊界時(shí)使得 hmov 反向,到達(dá)上下邊界時(shí)使得 vmov 反向。只要判斷 hmov 在小于 640 像素區(qū)域內(nèi),就不斷增大 hmov 就能實(shí)現(xiàn)橫向移動(dòng),當(dāng)移動(dòng)到右邊界,即到達(dá) 640 像素,則將 vmov 增加,使得 豎向移動(dòng), hmov 同時(shí)賦值為 0即可使色塊折回左邊界。 子模式切換時(shí)采用了控制按鍵 bt4,需要進(jìn)行按鍵防抖動(dòng)設(shè)計(jì)。當(dāng)需要色塊運(yùn)動(dòng)時(shí),只要改變二維變量( hmov, vmov)值即可實(shí)現(xiàn)橫向和縱向的運(yùn)動(dòng)。報(bào)告 第 12頁(yè) 圖 用戶模式狀態(tài)轉(zhuǎn)移圖 UserMode 模塊的輸入行列像素位置信號(hào) hpos 和 vpos、按鍵控制信號(hào) bt0~ bt4,首先根據(jù) hpos 和 vpos 畫出靜態(tài)的圖形,由于繪制圓形色塊耗費(fèi)的器件資源實(shí)驗(yàn)板無法提供,這里選擇了畫正方形色塊。轉(zhuǎn)移條件均是子模式切換按鍵按下。 子模式也采用狀態(tài)機(jī)的方式進(jìn)行設(shè)計(jì)。 用戶模式設(shè)計(jì) 這里單獨(dú)把用戶模式提出來,是因?yàn)榛镜娜齻€(gè)顏色顯示模式 S0、 S S2 的設(shè)計(jì)思路都簡(jiǎn)單而清晰,而用戶模式是本實(shí)驗(yàn)拓展部分,實(shí)驗(yàn)中設(shè)計(jì)了三種子模式 US0、US US2(參見 節(jié)中的設(shè)計(jì)目標(biāo)),三種模式都是動(dòng)態(tài)圖像的顯示,實(shí)現(xiàn)過程相對(duì)復(fù)雜。報(bào)告 第 11頁(yè) 圖 橫彩模式流程圖 S2 是純色模式,由撥碼開關(guān) SW2~ SW7 分別代表 RGB 三基色,每個(gè)基色 2 個(gè)比特,只要在 VGAColor 中讀取出這 6個(gè)比特組合成為顏色碼輸出到 VGA接口即可。硬件描述時(shí)采用條件判斷語(yǔ)句生成帶有優(yōu)先級(jí)的選擇電路。圖 給出了橫彩模式的實(shí)現(xiàn)流程圖。 圖 頂層狀態(tài)機(jī)狀態(tài)轉(zhuǎn)移圖 基本模式有三個(gè),以 S0、 S S2 三個(gè)狀態(tài)描述,具體實(shí)現(xiàn)是在 VGAColor 模塊中。具體硬件語(yǔ)言描述時(shí)也只是定義狀態(tài)為枚舉類型,由編譯器決定如何對(duì)狀態(tài)編碼和優(yōu)化,事實(shí)上,從 QuartusII 編譯綜合的結(jié)果看, OneHot的編碼方式。 狀態(tài)轉(zhuǎn)移圖如圖 。報(bào)告 第 10頁(yè) 如 節(jié)中所述,系統(tǒng)模式設(shè)定為純色模式、橫彩模式、縱彩模式、用戶模式,分別定義為 S0、 S S S3 四種狀態(tài)。其具體 VHDL描述參見 。 控制器的描述在頂層完成。另一方面, VGAMode 將當(dāng)前顏色圖像信號(hào)、 VGASig 將行場(chǎng)同步和消隱信號(hào)輸出到 VGAController,控制器再輸出到 VGA 接口,以控制 CRT 顯示器。 子系統(tǒng)中包括二層和三層設(shè)計(jì)中的六個(gè)模塊,各模塊間存在信號(hào)的傳遞,其中時(shí)鐘信號(hào)由 Clock 產(chǎn)生,驅(qū)動(dòng)其他各個(gè)模塊,像素位置信號(hào)由 PixelCNT 產(chǎn)生,輸出到VGAColor、 VGASig 以及 UserMode 模塊,作為產(chǎn)生顏色圖像信號(hào)和掃描同步消隱信號(hào)的依據(jù), VGAMode 模塊決定當(dāng)前的模式狀態(tài),輸出當(dāng)前需要顯示的顏色圖像信號(hào)。報(bào)告 第 9頁(yè) 圖 控制器與各模塊以及輸入輸出關(guān)系圖 受圖片大小的制約, 無法在圖 。 在本實(shí)驗(yàn)的具體設(shè)計(jì)中,控制器的作用和與子系統(tǒng)的耦合關(guān)系可由圖 。某些模塊沒有特別給出時(shí)鐘輸入,在具體分塊電路硬件描述時(shí)再行具體引入,詳述于 小節(jié)中。 數(shù)字電路綜合 實(shí)驗(yàn) 輸入: 行和列像素位置信號(hào),用戶控制信號(hào)。完成設(shè)計(jì)目標(biāo)中用戶模式下的 3 種子模式。 以上模塊分別由各個(gè) Compon
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1