freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

vga圖像顯示控制器設(shè)計(jì)報(bào)告-在線瀏覽

2024-10-30 17:34本頁(yè)面
  

【正文】 模式; 2. 用撥碼開關(guān)控制 R、 G、 B(每個(gè) 2 位),使顯示器可以顯示 64 種純色; 3. 在顯示器上顯示橫向彩條信號(hào)(至少 6 種顏色); 4. 在顯示器上顯示縱向彩條信號(hào)(至少 8 種顏色); 5. 在顯示器上顯示自行設(shè)定的圖形、圖像等。 數(shù)字電路綜合 實(shí)驗(yàn) 第一步:系統(tǒng)功能分析和描述 VGA 顯示控制器實(shí)現(xiàn)的主要功能是向 CRT 顯示器發(fā)出圖形控制信號(hào),產(chǎn)生相應(yīng)的圖形顯示。 第二步:系統(tǒng)層次模塊劃分 由系統(tǒng)功能可以將系統(tǒng)從邏輯上劃分為輸入子系統(tǒng)、模式檢測(cè)子系統(tǒng)和 VGA 控制子系統(tǒng),詳述于 。頂層用于描述整個(gè)系統(tǒng)的功能和運(yùn)行;第二層承接頂層,負(fù)責(zé)實(shí)現(xiàn)系統(tǒng)中各個(gè)獨(dú)立而完整的功能部分;第三層為第二層的子模塊,用于擴(kuò)展第二層的功能,具體負(fù)責(zé)用戶模式的實(shí)現(xiàn)。 控制器部分是系統(tǒng)的核心部分,負(fù)責(zé)協(xié)調(diào)和控制各子模塊的工作。 第三步:硬件語(yǔ)言描述 在前述工作的基礎(chǔ)上,采用 VHDL 語(yǔ)言對(duì) VGA 顯示控制器的三個(gè)層次依次進(jìn)行描述,具體描述出每個(gè)模塊,生成具體電路視圖。 第四步:仿真驗(yàn)證 經(jīng)過(guò)前三步之后,系統(tǒng)設(shè)計(jì)基本完成,需要對(duì)系統(tǒng)主要功能進(jìn)行仿真驗(yàn)證,更進(jìn)一步分析狀態(tài)機(jī)、電路邏輯和時(shí)序配合等是否正確。 數(shù)字電路綜合 實(shí)驗(yàn)報(bào)告 第 4頁(yè) 圖 VGA顯示控制器系統(tǒng)結(jié)構(gòu)框圖 VGA 顯示控制器的輸入是用戶控制信息,如顯示模式的切換命令、圖形移動(dòng)的控制命令等。用戶模式中,還應(yīng)根據(jù)用戶的輸入控制圖形的運(yùn)動(dòng)方向。 時(shí)鐘 信號(hào) 模式檢測(cè)器 輸入寄存器 按鍵輸入 VGA 控制器 CRT 顯示器 模式碼 顏色信號(hào) 同步信號(hào) CRT 顯示器 VGA 顯示控制器 用戶輸入接口 數(shù)字電路綜合 實(shí)驗(yàn) 系統(tǒng)劃分為三層,自頂向下分別是頂層模塊、控制器子模塊、用戶模式底層模塊。每個(gè)層次可用一個(gè)或多個(gè)具體模塊加以實(shí)現(xiàn)。模塊設(shè)計(jì)圖見 。報(bào)告 第 6頁(yè) 作用為 集成子模塊功能,控制子模塊的連接和耦合信號(hào)。 B. 中層模塊: 具體實(shí)現(xiàn)頂層模塊的描述,包括的功能主要是產(chǎn)生時(shí)鐘信號(hào),狀態(tài)機(jī)的定義和狀態(tài)的轉(zhuǎn)移,產(chǎn)生像素位置信號(hào),并根據(jù)不同的狀態(tài)和像素位置產(chǎn)生 RGB 顏色信號(hào)。根據(jù)撥碼開關(guān) SW1 和 SW0 的四種組合選擇各模式,完成狀態(tài)的轉(zhuǎn)移。 輸入:撥碼開關(guān) SW SW0的值。 b) Clock 作分頻,由 50MHz 的板級(jí)輸入得到系統(tǒng)所需的 25MHz、 100Hz 和 1Hz 時(shí)鐘信號(hào)。 輸出: 25MHz、 100Hz、 1Hz時(shí)鐘信號(hào)。為 VGAColor 模塊產(chǎn)生顏色和圖像作定位參考。 輸出:行和列像素位置信號(hào)。 數(shù)字電路綜合 實(shí)驗(yàn) 輸出: VGA掃描同步信號(hào)和消隱信號(hào)。為實(shí)現(xiàn) S3 用戶模式下復(fù)雜的用戶圖像輸出,定義了子模塊 UserMode,專門負(fù)責(zé)用戶自定義顏色和圖像的產(chǎn)生。 輸出: S0~ S3狀態(tài)的顏色圖像信號(hào)。 C. 底層模塊: 考慮到用戶模式 S3 功能較多,仍需采用狀態(tài)機(jī)設(shè)計(jì),故將其獨(dú)立出來(lái),作為第三層的模塊加以封裝和實(shí)現(xiàn),實(shí)體定義為 UserMode。子模式的狀態(tài)分別定義 US0、 US US2。 輸出:用戶模式下的顏色圖像信號(hào)。報(bào)告 第 8頁(yè) 圖 VGA顯示控制器模塊接口圖 圖 ,這些模塊屬于第二層和第三層。模塊輸入輸出信號(hào)的耦合是在頂層模塊中進(jìn)行描述的,頂層模塊 VGAController 在數(shù)字系統(tǒng)中屬于控制器模塊,其設(shè)計(jì)是數(shù)字系統(tǒng)設(shè)計(jì)的核心,故單獨(dú)敘述于 小節(jié)中,而其VHDL描述詳述于 。 數(shù)字電路綜合 實(shí)驗(yàn)現(xiàn)文字加以敘述如下。 子系統(tǒng)和控制器的耦合關(guān)系為,一方面, VGAController 獲取輸入信號(hào),傳遞給子系統(tǒng),其中輸入控制信號(hào) sw0 和 sw1 傳遞給 VGAMode 用以控制狀態(tài)的轉(zhuǎn)移, sw2~sw7 傳遞給 VGAColor 用以控制產(chǎn)生純色, bt0~ bt4 傳遞給 UserMode 用以控制用戶自定義圖形圖像。當(dāng)然,以上信號(hào)的傳遞是基于邏輯和系統(tǒng)設(shè)計(jì)的角度加以分析的,頂層設(shè)計(jì)實(shí)際是邏輯概念,并非有實(shí)實(shí)在在的電路,實(shí)際生成電路時(shí)信號(hào)是直接連線的傳遞的。表現(xiàn)為定義頂層實(shí) 體和原件的例化以及信號(hào)的傳遞。 數(shù)字電路綜合 實(shí)驗(yàn)由于使用撥碼開關(guān)的組合來(lái)確定模式,故狀態(tài)間的轉(zhuǎn)換條件是撥碼開關(guān)狀態(tài)改變,轉(zhuǎn)移方向是其它三種狀態(tài)中的任意一種,可將撥碼開關(guān)狀態(tài)不變理解為向自身狀態(tài)的轉(zhuǎn)移。圖中沒(méi)有再標(biāo)注轉(zhuǎn)移條件。具體編碼參見 。 其中 S0是橫彩模式, S1是縱彩模式,每種模式均有 8種彩色條幅,其實(shí)現(xiàn)思路完全一致,差別僅僅在于 S0 根據(jù)列像素信息分割,而 S1 根據(jù)行像素信息分割??v彩模式可類似實(shí)現(xiàn)。 數(shù)字電路綜合 實(shí)驗(yàn)因而是容易實(shí)現(xiàn)的。實(shí)驗(yàn)中設(shè)計(jì)了專門的子模塊 UserMode 加以實(shí)現(xiàn)。狀態(tài)轉(zhuǎn)移圖如圖 。 數(shù)字電路綜合 實(shí)驗(yàn)定義一個(gè)二維位置坐標(biāo)變量( hmov, vmov)為方塊中心,畫圖時(shí)以( hpos, vpos)、( hmov, vmov)聯(lián)立不等式可確定屏幕內(nèi)一塊區(qū)域的坐標(biāo)點(diǎn),在這一區(qū)域輸出某種顏色值,其余 區(qū)域輸出黑色即可顯示一個(gè)靜態(tài)的色塊。因而動(dòng)態(tài)圖形的設(shè)計(jì),關(guān)鍵在于在 US0、 US US2 狀態(tài)下以不同的方式設(shè)法控制( hmov, vmov)的運(yùn)動(dòng)方向和速度。 子模式 US0 是沿固定軌跡運(yùn)動(dòng),即先行方向運(yùn)動(dòng),到右邊界后折返從下一行繼續(xù)行運(yùn)動(dòng)。 子模式 US1 是反彈球運(yùn)動(dòng)。抓住這一核心點(diǎn),可以使得設(shè)計(jì)大為簡(jiǎn)化,否則要反映反彈運(yùn)動(dòng)完整過(guò)程需要定義多種狀態(tài),初步估計(jì) 16 種狀態(tài)可以完整描述。報(bào)告 第 13頁(yè) 用簡(jiǎn)化的方案,設(shè)置兩個(gè)標(biāo)志位 borderlr 和 borderud 分別表示左右邊界和上下邊界,可認(rèn)為設(shè)定邊界為 ’1’時(shí)向 hmov 和 vmov 減小方向運(yùn)動(dòng),反之則增大方向運(yùn)動(dòng),當(dāng)?shù)竭_(dá)四個(gè)邊界中任意邊界時(shí)(容易根據(jù) hmov 和 vmov 值判斷),對(duì)應(yīng)的 borderlr 或者borderud區(qū)反,這樣就使得色塊反彈了。實(shí)現(xiàn)思路也是很明確的,即判斷按鍵是上下左右中的哪個(gè),然后做相應(yīng)的運(yùn)動(dòng),使 hmov和 vmov對(duì)應(yīng)改變即可。當(dāng)無(wú)鍵按下或多個(gè)鍵同時(shí)按下時(shí),視為第五種狀態(tài), hmov和 vmov保持不變,色塊不運(yùn)動(dòng)。 數(shù)字電路綜合 實(shí)驗(yàn)可以使用 VHDL語(yǔ)言描述硬件電路了。根據(jù) 小節(jié)中的設(shè)計(jì)思路,在 Package 包 VGAPackage 中定義各模塊的接口定義,然后在頂層實(shí)體中進(jìn)行例化,定義好信號(hào)的傳遞關(guān)系。 由于進(jìn)行了細(xì)致的模塊劃分,模塊的間的耦合程度降到了很低,這就使得電路設(shè)計(jì)的可靠性大為增強(qiáng),只要模塊的輸出滿足了功能定義,整個(gè)系統(tǒng)就能正常工作,即便個(gè)別模塊出現(xiàn)問(wèn)題,也不會(huì)過(guò)多影響到其他模塊。 VHDL 代碼編譯綜合后下載到實(shí)驗(yàn)板上,工作正常,只是 UserMode 模塊的反彈球模式開始時(shí)出現(xiàn)了無(wú)法反彈的問(wèn)題,系統(tǒng)的其他功能完整。 總體電路的描述 根據(jù)系統(tǒng)設(shè)計(jì)要求,首先定義系統(tǒng)頂層實(shí)體,描述輸入輸出端口。 entity vgacontroller is VGAController toplevel entity definition port (clk50m : in std_logic。 bt0,bt1,bt2,bt3,bt4: in std_logic。 數(shù)字電路綜合 實(shí)驗(yàn) 依據(jù)描述思路,定義各模塊接口如下。 clk1hz,clk100hz,clk25m: out std_logic)。 ponent pixelt Pixel counter port (clk25m: in std_logic。 end ponent。 sw: in std_logic_vector(1 downto 0)。 colors0,colors1,colors2,colors3: in std_logic_vector(5 downto 0)。 end ponent。 ht,vt: in std_logic_vector(9 downto 0)。 end ponent。報(bào)告 第 16頁(yè) port (clk25m,clk100hz,clk1hz: in std_logic。 button: in std_logic_vector(4 downto 0)。 colors0,colors1,colors2,colors3: out std_logic_vector(5 downto 0))。 ponent usermode Sub mode for user port (clk25m,clk100hz,clk1hz: in std_logic。 button : in std_logic_vector(4 downto 0)。 end ponent。 然后在 VGAController 中進(jìn)行例化, VHDL描述如下(僅給出關(guān)鍵部分的描述)。 bt = (bt4,bt3,bt2,bt1,bt0)。 clock_port_map: clock port map(clk50m = clk50m, clk25m = clk25m, clk100hz = clk100hz, clk1hz = clk1hz)。 vgasig_port_map: vgasig port map(clk25m = clk25m, ht = ht, 數(shù)字電路綜合 實(shí)驗(yàn) vgaemode_port_map: vgasmode port map(clk25m = clk25m, clk1hz = clk1hz, hen = hen ,ven = ven, colors0 = colors0,colors1 = colors1, colors2 = colors2,colors3 = colors3, colors = colors,sw = sw(1 downto 0))。 end arch。 QuartusII 生成的 電路圖 和 節(jié)中模塊設(shè)計(jì)圖一致,信號(hào)連接圖與 。 數(shù)字電路綜合 實(shí)驗(yàn)由于代碼長(zhǎng)度較長(zhǎng),只給出部分代碼,完整的代碼請(qǐng)參見 。 VGAMode 模塊的描述 定義狀態(tài)機(jī)的描述如下。 signal state:states:=s0。 process(clk1hz) Detecting sw1 and sw0 and decide the state begin if(rising_edge(clk1hz)) then case sw is when 00 = state = s0。 when 10 = state = s2。 end case。 end process。 process(clk25m) Select color of one mode to VAG interface begin if(rising_edge(clk25m)) then case state is when s0 = colorstmp = colors0。 when s2 = colorstmp = colors2。 end case。報(bào)告 第 19頁(yè) end if。 VGASig模塊的描述 該模塊 主要 負(fù)責(zé) VGA 標(biāo)準(zhǔn)中的行同步信號(hào)和列同步信號(hào)以及行列消隱信號(hào)。 VGA掃描顯示的原理關(guān)鍵點(diǎn)在于同步信號(hào)和消隱信號(hào)的產(chǎn)生。其中,行同步時(shí)序列表如下: 表 21 VGA行同步時(shí)序表 根據(jù)時(shí)序表,容易確定水平掃描的四個(gè)狀態(tài)區(qū),即:行顯示區(qū)( h_video)、行前端消隱區(qū)( h_front)、行同步區(qū)( h_sync)、行后端消隱區(qū)( h_back)。 數(shù)字電路綜合 實(shí)驗(yàn)行同步區(qū)定義在 656~ 752像素之間。039。139。 end if。 類似行同步,列同步時(shí)序表如下: 表 22 VGA行 列 同步時(shí)序表 數(shù)字電路綜合 實(shí)驗(yàn)列同步區(qū)在 490~ 492像素點(diǎn)之間。
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1