freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計論文-在線瀏覽

2025-07-25 00:46本頁面
  

【正文】 顯 示設(shè) 計 輸 入M A X + p l u s I I文 本 編 輯 器M A X + p l u s I I圖 形 編 輯 器項 目 校 驗M A X + p l u s I I時 間 分 析 器項 目 編 譯編 譯 器 網(wǎng) 絡(luò) 提 取 器數(shù) 據(jù) 庫 建 庫 器邏 輯 綜 合 器適 配器 件 編 程M A X + p l u s I I編 程 器 圖 2 MAX+plusII 的軟件組成 3 文獻綜述 PLC 在電梯控制中的應(yīng)用介紹 由當初的 繼電器邏輯控制電路到今天廣為應(yīng)用的可編程邏輯控制器及微機控制系統(tǒng), 電梯控制的發(fā)展經(jīng)歷了相當一段歷程。因此,它逐漸被可靠性高、通用性強的可編程序控制器及微機控制系統(tǒng)所代替。只要把按鈕、限位開關(guān)、光電開關(guān)、無觸點行程開關(guān)等電器元件作為輸入信號,而把制動器、接觸器等功率輸出元件接到輸出端,就算完成了接線任務(wù)。 PLC 是一種用于自動控制的專用微機,實質(zhì)上屬于微機控制方式。能在較惡劣的各種環(huán)境里工作、可靠性高, 6 適合于安全性要求較高的電梯控制。具有繼電器系統(tǒng)的直觀、易懂、易學(xué),應(yīng)用操作和調(diào)試方便等優(yōu)勢。 (馬宏騫, 2020)電梯既是一種特殊的起重運輸設(shè)備,具有完善的機械專用構(gòu)造,又是一種比較復(fù)雜的機電一體化的大型工業(yè)產(chǎn)品,具有復(fù)雜的電氣控制系統(tǒng)。由于這種控制屬于隨機控制,各種輸入信號之間、輸出信號之間以及輸入信號和輸出信號之間互相關(guān)聯(lián),邏輯關(guān)系處理起來非常復(fù)雜,這就給 PLC 編程帶來很大難度。 PLC 充分利用了微型計算機的原理和技術(shù),具有很強的邏輯處理能力,在電梯運行控制中發(fā)揮了重要作用。所以在電梯的運行控制中, PLC 的編程工作主要 是針對各種信號進行邏輯判斷和處理。對電梯的控制主要是選層、啟動、換速、平層、停車等幾個環(huán)節(jié),其中以選層環(huán)節(jié)最為復(fù)雜。而且應(yīng)用單片機控制又相對于應(yīng)用其他微機減少了外圍設(shè)備的接口芯片,增強了可靠性。 7 初 始 化在 一 樓 ?有 上 呼關(guān) 門 或 定 時 到輸 出 關(guān) 門 信 號置 上 行 啟 動到 減 速 點本 層 內(nèi) 選 上 呼輸 出 減 速 控 制到 二 樓停 車二 層 處 理查 詢開 始YYYYYNNNNN 圖 3 程序流程(部分) 8 電梯控制的未來 電梯產(chǎn)業(yè)將 走上 信息化、網(wǎng)絡(luò)化 的道路 。在 21 世紀的今天如何提供用戶滿意產(chǎn)品和服務(wù)已成為關(guān)系到各企業(yè)生死存亡問題。在將來各大品牌廠家為了生存和發(fā)展都會在公共網(wǎng)絡(luò)系統(tǒng)中建立自己電梯網(wǎng)站(電 梯專用平臺),這也是一條必由之路 。 ( 2) 當電梯出現(xiàn)故障時,電梯通過網(wǎng)絡(luò)向客戶服務(wù)中心發(fā)出信號使維保人員能及時準確了解電梯出現(xiàn)故障的原因及相關(guān)信息,客戶的人身安全是否受到威脅,并在第一時間內(nèi)趕赴事故現(xiàn)場進行搶修,同時通過網(wǎng)絡(luò)對在電梯內(nèi)乘客安慰,把電梯出現(xiàn)故障的負面影響降到最低。 4 總體方案的確定 基于 PLC 技術(shù)的電梯控制設(shè)計方案 可編程控制系 統(tǒng) 是一種專門為在工業(yè)環(huán)境下應(yīng)用而設(shè)計的數(shù)字運算操作電子系統(tǒng)。 可編程控制器是計算機技術(shù)與自動化控制技術(shù)相結(jié)合而開發(fā)的一種適用工業(yè)環(huán)境的新型通用自動控制裝置,是作為傳統(tǒng)繼電器的替換產(chǎn)品而出現(xiàn)的。由于它可通過軟件來改變控制過程,而且具有體積小、組裝維護方便、編程簡單、可靠性高、抗干擾能力強等特點,已廣泛應(yīng)用于工業(yè)控制的各個領(lǐng)域,大大推進了機電一體化的進程。 EDA 技術(shù)開發(fā)手段多樣,其中應(yīng)用最為廣泛的就是通過程序?qū)τ布M行開發(fā),而其中又數(shù) VHDL 語言最受設(shè)計者的歡迎。 近幾年來,硬件描述語言等設(shè)計數(shù)據(jù)格式的逐步標準化,不同設(shè)計風格和應(yīng)用的要求導(dǎo)致各具特色的 EDA 工具被集成在同一個工作站上,從而使 EDA 框架日趨標準化 。 其 行為描述能力和程序結(jié)構(gòu)決定了他具有支持大規(guī)模設(shè)計的分解和已有設(shè)計的再利用功能。 初級設(shè)計單元――實體( entity) ,是設(shè)計的基本模塊和設(shè)計的初級單元,在分層次設(shè)計中,頂層有頂級實體,含在頂級實體中的較低層次的描述為低級實體 ,靠配置把頂層 10 實體和底層實體連接起來。 次級設(shè)計單元――結(jié)構(gòu)體 (architecture),實體的結(jié)構(gòu)體具有描述實體的行為功能,一個實體可以有多個結(jié)構(gòu)體,一種可能為行為描述,另一種可能為結(jié)構(gòu)描述。 VHDL 語言還包括程序包( package)和配置( configration)初級設(shè)計單元。 進程( process)是 VHDL 中的基本執(zhí)行單元,仿真時把所有的操作劃分為單個或多個進程。進程內(nèi)不能說明信號,而變量在進程內(nèi)說明。 圖 5 VHDL 設(shè)計流程圖 方案選擇 對于 符合市場需求的大規(guī)模系統(tǒng) ,要達到 高效 、 高速完成 , 必須有多人甚至多個 開設(shè)計思想及模塊化 設(shè)計輸入 設(shè)計實現(xiàn) 設(shè)計仿真 器件編程 系統(tǒng)測試 11 發(fā)組共 同并行工作才能實現(xiàn)?;?EDA 技術(shù)的 VHDL 語言 對設(shè)計的描述具有相對獨立性,設(shè)計者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計實現(xiàn)的目標器件是什么,而進行獨立的設(shè)計。 相信在不遠的將來,我國相關(guān)的專業(yè)技術(shù)人員使用 EDA 技術(shù)進行工程設(shè)計,就象現(xiàn)在使用計算器一樣,雖然大部分人不能開辦集成電路制造廠,但是卻能快速、經(jīng)濟地制造 (設(shè)計 ) 自已的專用集成電路或集成電子系統(tǒng)。 5 程序設(shè)計及調(diào)試 算法分析 電梯運行規(guī)則 ( 1) 請求信號分析: 電梯的請求信號可分為梯內(nèi)請求和梯外請求,如果從這個角度就很難去進行對電梯運行可能情況的分析,因為 電梯的運行是根據(jù) 梯外 和 梯內(nèi) 的 請求 信號、行程信號進行控制,而 梯外 和 梯內(nèi) 的 請求 是隨機 且不能以有限的規(guī)則去對其進行說明 的 。出于這方面的考慮,本設(shè)計把電梯的請求信號劃分為上升請求和下降請求。使電梯進入預(yù)上升狀態(tài)的請求信號就是上升 請求信號。這時的請求信號就是上升請求信號。 ( 2)電梯處于各樓層時的運行情況: 處于一樓時,不管是梯內(nèi)梯外,電梯都只可能接收到上升的請求信號。如果電梯沒有接收到請求信號,電梯則在一樓待機。 處于二樓時,電梯則可能出現(xiàn)三種情況: ① 電梯并沒有接收到梯內(nèi)梯外的任何請求信號時,電梯返回一樓待機; ② 電梯 接收到上升請求信號,進入預(yù)上升狀態(tài); ③ 電梯接收到下降請求信號,進入預(yù)下降狀態(tài)。 處于三樓時,不管是梯內(nèi)梯外,電梯都只可能接收到下降的請求信號。如果電梯沒有接收到請求信號,電梯則返回一樓待機。 處 于 一 樓預(yù) 上 升待 機上升信號無信號 圖 6 電梯處于一樓時的運行可能分析 處 于 二 樓預(yù) 上 升預(yù) 下 降返 回 一 樓待 機上升信號無 信 號下降信號 圖 7 電梯處于二樓時的運行可能分析 處 于 三 樓預(yù) 下 降返 回 一 樓 待機下降信號無信號 圖 8 電梯處于三樓時的運行可能分析 對上述電梯的運行情況進行匯總,可以得到如圖 9 的電梯運行狀態(tài)圖。 電梯實際上是一個人機交互式的控制系統(tǒng),單純用順序 優(yōu)先 或邏輯 優(yōu)先 是不能滿足控制要求的,因此, 控制 系統(tǒng)采用隨機邏輯方式控制 去解決信號優(yōu)先的問題 。例如,當電梯在三樓時,二樓、一樓梯外均有上升請求信號: 電梯以 向下的方向 接近 二樓的減速位置,判別 二 樓 具 有同向的 請求 信號,在 二 樓減速停 止載客,然后繼續(xù)下降到 14 一樓載客。該動作完畢后,被保存的 寄存器中的 數(shù)據(jù) 清除 ,以實現(xiàn) 下一次 隨機邏輯控制。其流程如圖 10 所示: 接 到 樓 層 請 求 信 號電 梯 運 行 到 達 該 樓 層開 門 使 能 信 號關(guān) 門 延 時 信 號 倒 數(shù)關(guān) 門 使 能 信 號接 受 并 處 理 請 求 信 號電 梯 進 入 運 行 狀 態(tài)超 重 檢 測故 障 檢 測故 障 處 理 待 機故 障 報 警提 前 關(guān) 門提 前 關(guān) 門 請 求NNYY 圖 10 電梯內(nèi)外信號處理 當電梯接收到請求信號后,將以隨機邏輯控制的方式到達發(fā)出請求的樓層。在電梯進 15 行完關(guān)門倒數(shù)計時、超重排除以及故障排除后,關(guān)門使能信號將促使電梯關(guān)門進入預(yù)操作狀態(tài)。 電梯在超重檢測時發(fā)現(xiàn)超重,關(guān)門中斷信號會促使電梯發(fā)出超重警報并且進行開門操作以減少乘客,重新進入載客操作;電梯在故障檢測時,發(fā)現(xiàn)電梯某部分出現(xiàn)故障,關(guān)門中斷信號會促使電梯發(fā)出故障報警并且進入開門操作的同時停止關(guān)門延時,作故障處理待機。對程序進行模塊化構(gòu)思。實體對控制器的端口進行定義,結(jié)構(gòu)體對各端口的行為進行描述。具體流程圖如圖 11 所示: 圖 11 總程序流程圖 程序開始 VHDL 庫調(diào)用 設(shè)置控制器的端口 設(shè)置相關(guān)的寄存器 電梯運行規(guī)則描述 電梯信號處理 程序結(jié)束 16 程序設(shè)計說明 、寄存器設(shè)計說明 ( 1) 由功能要求得到本程序設(shè)計的端口必須包括: 時鐘信號( clk); 超載信號( full)、關(guān)門中斷信號( deng)、提前關(guān)門信號( quick)、清除報警信號( clr); 一樓電梯外人的上升請求信號( c_u1)、二樓電梯外人的上升請求信號( c_u2); 二樓電梯外人的下降請求信號( c_d2)、三樓電梯外人的下降請求信號( c_d3); 電梯內(nèi)人請求到達一樓信號( d1)、電梯內(nèi)人請求到達二樓信號( d2)、電梯內(nèi)人請求到達三樓信號( d3); 到達一樓信號( g1)、到達二樓信號( g2)、到達三樓信號( g3); 電梯門控制信號( door); 電梯所在樓層顯示( led); 電梯外人上升請求信號顯示( led_c_u)、電梯外人下降請求信號顯示( led_c_d); 電梯內(nèi)請求信號顯示( led_d);看門狗報警信號( wahaha)、超載警告信號( alarm); 電梯運動方 向顯示( ud); 電機向上控制信號( up)、電機向下控制信號( down)。 模塊設(shè)計說明 本程序由三個基本模塊組成,包括調(diào)用 VHDL 庫模塊、實體設(shè)計模塊和結(jié)構(gòu)體設(shè)計模塊。 ( 1)調(diào)用 VHDL 庫 通過 library 語句,本程序應(yīng)用了 VHDL 庫中的“通用 ieee 庫”和“標準 std 庫”。 use 。 use 。 full,deng,quick,clr : in std_logic。 c_d2,c_d3: in std_logic。 g1,g2,g3: in std_logic。 led : out std_logic_vector(3 downto 0)。 led_c_d:out std_logic_vector(2 downto 0)。 wahaha : out std_logic。 up,down : out std_logic )。 實體語句用關(guān)鍵詞 entity開頭,本程序以 dianti(電梯的漢語拼音)作為所要描述的實體名,在結(jié)束實體語句的時候使用 end dianti。 ( 3) architecture結(jié)構(gòu)化設(shè)計模塊和 process進程執(zhí)行單元 dianti符號下的線路圖描述 dianti網(wǎng)表,與線路圖對應(yīng)的 VHDL architecture就是結(jié)構(gòu)體,實體 dianti以結(jié)構(gòu)化與行為化描述的結(jié)構(gòu)體如下: architecture behav of dianti is signal d11,d22,d33:std_logic。 signal c_d22,c_d33:std_logic。 signal q1:integer range 0 to 6。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0)。 signal updown:std_logic。 begin :process(clk) 19 由于篇幅的關(guān)系,結(jié)構(gòu)體部分并不能盡錄。 Architectecture和 begin之間是結(jié)構(gòu)體說明區(qū),詳細定義了各種信號端的模式和取值范圍; begin和 end之間是結(jié)構(gòu)體語句區(qū),在此將嵌入 process進程語句去對實體行為作出說明。event and clk=39。 then if clr=39。 then q1=0。wahaha=39。 elsif full=39。 then alarm=39。 q1=0。 else door=00。 d22amp。 cc_u=39。amp。c_u11。c_d22amp。039。
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1