freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計論文(完整版)

2025-07-05 00:46上一頁面

下一頁面
  

【正文】 超載信號( full)、關(guān)門中斷信號( deng)、提前關(guān)門信號( quick)、清除報警信號( clr); 一樓電梯外人的上升請求信號( c_u1)、二樓電梯外人的上升請求信號( c_u2); 二樓電梯外人的下降請求信號( c_d2)、三樓電梯外人的下降請求信號( c_d3); 電梯內(nèi)人請求到達一樓信號( d1)、電梯內(nèi)人請求到達二樓信號( d2)、電梯內(nèi)人請求到達三樓信號( d3); 到達一樓信號( g1)、到達二樓信號( g2)、到達三樓信號( g3); 電梯門控制信號( door); 電梯所在樓層顯示( led); 電梯外人上升請求信號顯示( led_c_u)、電梯外人下降請求信號顯示( led_c_d); 電梯內(nèi)請求信號顯示( led_d);看門狗報警信號( wahaha)、超載警告信號( alarm); 電梯運動方 向顯示( ud); 電機向上控制信號( up)、電機向下控制信號( down)。在電梯進 15 行完關(guān)門倒數(shù)計時、超重排除以及故障排除后,關(guān)門使能信號將促使電梯關(guān)門進入預(yù)操作狀態(tài)。 電梯實際上是一個人機交互式的控制系統(tǒng),單純用順序 優(yōu)先 或邏輯 優(yōu)先 是不能滿足控制要求的,因此, 控制 系統(tǒng)采用隨機邏輯方式控制 去解決信號優(yōu)先的問題 。 處于二樓時,電梯則可能出現(xiàn)三種情況: ① 電梯并沒有接收到梯內(nèi)梯外的任何請求信號時,電梯返回一樓待機; ② 電梯 接收到上升請求信號,進入預(yù)上升狀態(tài); ③ 電梯接收到下降請求信號,進入預(yù)下降狀態(tài)。使電梯進入預(yù)上升狀態(tài)的請求信號就是上升 請求信號?;?EDA 技術(shù)的 VHDL 語言 對設(shè)計的描述具有相對獨立性,設(shè)計者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計實現(xiàn)的目標(biāo)器件是什么,而進行獨立的設(shè)計。 VHDL 語言還包括程序包( package)和配置( configration)初級設(shè)計單元。 近幾年來,硬件描述語言等設(shè)計數(shù)據(jù)格式的逐步標(biāo)準(zhǔn)化,不同設(shè)計風(fēng)格和應(yīng)用的要求導(dǎo)致各具特色的 EDA 工具被集成在同一個工作站上,從而使 EDA 框架日趨標(biāo)準(zhǔn)化 。 4 總體方案的確定 基于 PLC 技術(shù)的電梯控制設(shè)計方案 可編程控制系 統(tǒng) 是一種專門為在工業(yè)環(huán)境下應(yīng)用而設(shè)計的數(shù)字運算操作電子系統(tǒng)。 7 初 始 化在 一 樓 ?有 上 呼關(guān) 門 或 定 時 到輸 出 關(guān) 門 信 號置 上 行 啟 動到 減 速 點本 層 內(nèi) 選 上 呼輸 出 減 速 控 制到 二 樓停 車二 層 處 理查 詢開 始YYYYYNNNNN 圖 3 程序流程(部分) 8 電梯控制的未來 電梯產(chǎn)業(yè)將 走上 信息化、網(wǎng)絡(luò)化 的道路 。 PLC 充分利用了微型計算機的原理和技術(shù),具有很強的邏輯處理能力,在電梯運行控制中發(fā)揮了重要作用。能在較惡劣的各種環(huán)境里工作、可靠性高, 6 適合于安全性要求較高的電梯控制。 MAX+plusII 軟件與其設(shè)計流程的每個階段都匹配有強 大的設(shè)計軟件,其軟件組成如圖 2 所示: 設(shè)計要求 設(shè)計輸入 設(shè)計編譯 功能檢驗 時序檢驗 器件編程 修改設(shè)計 5 M A X + p l u s I I信 息 處 理 器和層 次 顯 示設(shè) 計 輸 入M A X + p l u s I I文 本 編 輯 器M A X + p l u s I I圖 形 編 輯 器項 目 校 驗M A X + p l u s I I時 間 分 析 器項 目 編 譯編 譯 器 網(wǎng) 絡(luò) 提 取 器數(shù) 據(jù) 庫 建 庫 器邏 輯 綜 合 器適 配器 件 編 程M A X + p l u s I I編 程 器 圖 2 MAX+plusII 的軟件組成 3 文獻綜述 PLC 在電梯控制中的應(yīng)用介紹 由當(dāng)初的 繼電器邏輯控制電路到今天廣為應(yīng)用的可編程邏輯控制器及微機控制系統(tǒng), 電梯控制的發(fā)展經(jīng)歷了相當(dāng)一段歷程。對各子模塊分別進行邏輯設(shè)計、編譯、仿真與驗證。 VHDL語言介紹 VHDL 語言于 1983 年由美國國防部發(fā)起創(chuàng)建,由 電工和電子工程師協(xié)會( the Institute of Electrical and Electronics Engineers)進一步發(fā)展并在 1987 年作為“ IEEE 1076”發(fā)布。設(shè)計者可以利用 HDL 程序來描述所希望的電路系統(tǒng),規(guī)定其結(jié)構(gòu)性和電路的行為方式;然 后利用 EDA 工具將此程序變成能控制 場效應(yīng)可編程門陣列( Field Programmable Gate Array) /復(fù)雜可編程邏輯器件( Complex Programmable Logic Device)內(nèi)部結(jié)構(gòu)并實現(xiàn)相應(yīng)邏輯功能的門級或更底層的結(jié)構(gòu)網(wǎng)表文件和下載文件。硬件描述語言是 EDA 技術(shù)的重要組成部分, VHDL 是作為電子設(shè)計主流硬件的描述語言。 設(shè)計目的 三層電梯廣泛應(yīng)用在大型的貨運之中。 隨著電梯普及率的升溫,人們對電梯的要求也會越來越高。通過程序調(diào)試及運行仿真,結(jié)果表明,本程序可以完成:電梯運行所在樓層指示、電梯運行方向指示、關(guān)門延時設(shè)置、看門狗報警、超載報警、故障報警等。 大規(guī)模的經(jīng)濟建設(shè)尤其是蓬勃發(fā)展的房地產(chǎn)業(yè)給 國內(nèi) 電梯行業(yè)開拓了 更為 廣闊的市場 。 電梯生產(chǎn)作為一門國家的新興產(chǎn)業(yè),它這種能有減少人口膨脹對環(huán)境所 造成的巨大壓力的特性,注定了其在中國具有一片光明的前景。因此,能使人們快速、便捷地到達目的樓層的電梯便應(yīng)運而生了。電子設(shè)計在日常生活和物質(zhì)產(chǎn)品生產(chǎn)都占到了舉足輕重的地位,這尤其體現(xiàn)在其對電子產(chǎn)品的開發(fā)和設(shè)計上。 針對目前中小型電梯所能實現(xiàn)的功能,本控制設(shè)計擬實現(xiàn)以下這些 功能: ( 1)指示電梯運行所在樓層; 2 ( 2)指示電梯運行方向; ( 3)關(guān)門延時設(shè)置; ( 4)看門狗報警; ( 5)超載報警; ( 6)故障報警。在利用 EDA 進行集成電路設(shè)計時,應(yīng)采用高效率的TOPDOWN 設(shè)計方法,即根據(jù)系統(tǒng)的行為和功能要求,自上而下地依次完成相應(yīng)的描述、綜合、優(yōu)化、仿真與驗證,直到生成器件。 從另一方面看,在現(xiàn)代高新電子產(chǎn)品的設(shè)計和生產(chǎn)中,微電子技術(shù)和現(xiàn)代電子設(shè)計技術(shù)是相互促進 、 相互推動又相互制約的兩個技術(shù)環(huán)節(jié)。它提供了一種與結(jié)構(gòu)無關(guān)的設(shè)計環(huán)境,使設(shè)計者能方便地進行設(shè)計輸入 、 快速處理和器件編程。 圖 1 MAX+plusII 設(shè)計流程 該方法將問題的解決放在硬件組裝之前,既簡化了 設(shè)計過程,又可以減少設(shè)備投資,縮短設(shè)計周期,增強設(shè)計者對設(shè)計過程的分析和制造的可控性。通常,電梯功能、層數(shù)變化時,無需增減繼電器和大量的電路。就電梯的控制方法而言,目前國產(chǎn)電梯廣泛采用可編程控制器技術(shù)的智能化控 制。與通常的電器控制相比,單片機系統(tǒng)不需要通過“選層器”并且配備以大量的中間繼電器作為選層電路的控制設(shè)備,避免了設(shè)備多,檢修困難,運行維護不便,造價成本高;應(yīng)用微機控制可以取消選層器和大量 的中間繼電器。 電梯上網(wǎng)主要 能 實現(xiàn)以下功能: ( 1) 用網(wǎng)絡(luò)把所有電梯監(jiān)管起來,保證電梯安全運行,確保乘客安全。 PLC 的 特點 可綜述如下 : ( 1) 高可靠性 ( 2) 編程簡單,使用方便 ( 可采用梯形圖編程方式,與實際繼電器控制電路非常接近,一般電氣工作者很容易接受 ) ( 3) 環(huán)境要求低 ( 適用于惡劣的工業(yè)環(huán)境 ) 9 ( 4) 體積小,重量輕 ( 5) 擴充方便,組合靈活 PLC 硬件框圖如圖 4 所示: 圖 4 PLC 硬件框圖 基于 EDA 技術(shù) 的電梯控制設(shè)計方案 隨著 電子 技術(shù)的發(fā)展, EDA 技術(shù) 在工業(yè)控制系統(tǒng)中得到了廣泛的應(yīng)用,在電梯控制電路 上采用 EDA 技術(shù)進行開發(fā) ,越來越受到人們的重視。實體說明中還可以說明數(shù)據(jù)類型、子程序和常量等數(shù)據(jù)信息,實體語句常用于描述設(shè)計常用到的判斷和檢查信息。 其具體的流程圖如圖 5 所示。因此,很難對電梯的運行情況作出一個統(tǒng)一的分析。此時,電梯就進入預(yù)上升狀態(tài),準(zhǔn)備作上升運行。其分析圖如圖 8 所示。 ( 3) 對電梯開門、關(guān)門、報警等內(nèi)部信號處理的分析。根據(jù) VHDL 語言的規(guī)則,程序必須由最基本的實體和結(jié)構(gòu)體構(gòu)成。 library ieee。 d1,d2,d3: in std_logic。 ud,alarm : out std_logic。 signal q:integer range 0 to 1。139。139。c_u22amp。 ud=updown。進程說明在時鐘信號和begin之間,說明局部變量或某些僅在進程內(nèi)部用的內(nèi)容。139。139。 VHDL語言也具有與一般編程語言相同的一些語言邏輯結(jié)構(gòu),如上述中的“ if本程序只使用到上述的語言結(jié)構(gòu),相對簡易。 電梯門控制信號 led : out std_logic_vector(6 downto 0)。139。 then d22=39。 opendoor=39。139。139。039。event and clk=39。 清除故障報警 elsif full=39。 elsif q=1 then q=0。q1=0。 then 上升預(yù)操作 if deng=39。 提前關(guān)門 elsif q1=6 then door=00。door=00。q2=q2+1。139。 對電梯內(nèi)人請求信號進行檢測和寄存 elsif d2=39。139。 then c_d22=c_d2。 電梯內(nèi)人請求信號并置 cc_u=39。039。 程序調(diào)試 ( 1)建立好工作庫目錄,以便設(shè)計工程項目的存儲,打開 MAX+plusII 軟件,其初始界面如圖 14 所示。在編譯前,需要把文件設(shè)置為頂層文件 ,或稱工程文件 Project。通過“新建”按鈕,選擇“ Waveform Editor file”,詳細(xì)如圖 20 所示。在“ File”欄對截止時 間“ End Time”設(shè)置為“ 10s”,在“ Options”欄的“ Grid Size”設(shè)置為“ 20ns”。 ud:從電梯接收 c_d3 到電梯接收 d1 為止,賦值為 1 表示電梯此時向上運行;其余時間段都為 0,表示電梯此時向下運行或者待機。電梯上升到三樓時,響應(yīng)請求 c_d3,開門載客;進入預(yù)備下降狀態(tài)。 Led_c_u:在電梯接收到 c_u2 后,賦值樓層編碼為 010 即二樓,表示二樓梯外有人請求上升;電 梯運行到達請求發(fā)出的樓層二樓后,電梯賦值變?yōu)?000,表示上升請求得到滿足或在待機。039。 alarm:跟隨超重信號 full 的賦值變化而變化。造成在調(diào)試的過程中對信號隨意設(shè)置,導(dǎo)致仿真失敗,還以為是程序出錯,導(dǎo)致嚴(yán)重的人力、物力浪費以及設(shè)計者的精神損失。 進一步完善建議 經(jīng)過現(xiàn)實觀察,發(fā)現(xiàn)本設(shè)計與生活中的電梯系統(tǒng)存在 一定區(qū)別 。只需對程序作一定調(diào)整即可。衷心祝愿我的父母和工程學(xué)院所有的老師 、同學(xué)都能幸??鞓贰?需 先 對程序加以三樓的上升運行描 述以及相關(guān)端口的設(shè)置 。而在本設(shè)計里,卻把電梯的待機操作都設(shè)置 為返回一樓待機 。后來通過對 clk 信號的周期進行調(diào)試,當(dāng)其減少到25ms 時,電梯的上述功能都能基本實現(xiàn)。 33 quick:電梯接受到提前關(guān)門信號 quick,電梯跳過關(guān)門等待時間。 電梯接受到 deng、 c_d3 和 d3 電梯重新進入預(yù)備下降狀態(tài),并且 c_d3 和 d3 信號都可以對 q2( q23 時)進行清零處理。 led_d:在電梯接收到 d3 后,賦值為 100,表示梯內(nèi)請求到達樓層編碼為 100 即三樓;電梯運行到達請求目的樓層三樓后,電梯賦值變?yōu)?000,表示請求樓層得到滿足或在待機。 電梯執(zhí)行完所有請求時電梯將停在一樓待機。 led: 電梯每運行到達一層時,都會有不同的信號輸出: 100111 00100 0000110。 圖 23 截止時間設(shè)置 圖 24 單位時間設(shè)置 電梯運行情況仿真 三層電梯的運行情況多樣,以觀察以下兩種情況為主。同樣是使用相同的 文件名 dianti,后綴則改為 scf。詳見圖 18 所示。在選擇輸入路徑時,選擇“ Text Editor File”以進行文本輸入,如圖 15 所示。 電梯內(nèi)、外人請求信號進行綜合 end if。amp。139。 對電梯外人上升請求信號進行檢測和寄存 elsif c_u2=39。 then d22=d2。 else q=1。q1=q1+1。139。 elsif en_dw=39。139。 then door=10。up=39。039。 then alarm=39。 then if clr=39。
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1