freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計(jì)論文-wenkub

2023-05-13 00:46:12 本頁(yè)面
 

【正文】 1983 年由美國(guó)國(guó)防部發(fā)起創(chuàng)建,由 電工和電子工程師協(xié)會(huì)( the Institute of Electrical and Electronics Engineers)進(jìn)一步發(fā)展并在 1987 年作為“ IEEE 1076”發(fā)布。簡(jiǎn)單來(lái)說(shuō)就是依賴功能強(qiáng)大的計(jì)算機(jī),在 EDA 工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言 HDL 為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地 完成邏輯編譯 、 邏輯化簡(jiǎn) 、 邏輯分割 、 邏輯綜合 、 結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。設(shè)計(jì)者可以利用 HDL 程序來(lái)描述所希望的電路系統(tǒng),規(guī)定其結(jié)構(gòu)性和電路的行為方式;然 后利用 EDA 工具將此程序變成能控制 場(chǎng)效應(yīng)可編程門陣列( Field Programmable Gate Array) /復(fù)雜可編程邏輯器件( Complex Programmable Logic Device)內(nèi)部結(jié)構(gòu)并實(shí)現(xiàn)相應(yīng)邏輯功能的門級(jí)或更底層的結(jié)構(gòu)網(wǎng)表文件和下載文件。隨著 超大規(guī)模集成電路 ( Very Large Scale Integration)規(guī)模和技術(shù)復(fù)雜度的急 劇增長(zhǎng),一塊芯片內(nèi)集成門已可達(dá)幾十萬(wàn)甚至幾百萬(wàn)門,并且還在迅速增長(zhǎng),電子系統(tǒng)的人工設(shè)計(jì)已十分困難,必需依靠電子設(shè)計(jì)自動(dòng)化技術(shù)。硬件描述語(yǔ)言是 EDA 技術(shù)的重要組成部分, VHDL 是作為電子設(shè)計(jì)主流硬件的描述語(yǔ)言。 本著 “ 一理通,百理明 ” 的原則,本設(shè)計(jì)希望通過(guò)在簡(jiǎn)單的三層電梯控制設(shè)計(jì)之中如何解決升、降請(qǐng)求信號(hào)因電梯所處狀態(tài)而產(chǎn)生的沖突等問(wèn)題發(fā)散出去,由此及彼,對(duì)高層電梯控制的開發(fā)作一個(gè)技術(shù)的鋪墊,為高層電梯設(shè)計(jì)者提供一個(gè)基礎(chǔ)。 設(shè)計(jì)目的 三層電梯廣泛應(yīng)用在大型的貨運(yùn)之中。 在電子技術(shù)飛速發(fā)展的今天,現(xiàn)代電子產(chǎn)品幾乎滲透到了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度 的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,加速了電子設(shè)計(jì)技術(shù)的普及進(jìn)程及技術(shù)革新。 隨著電梯普及率的升溫,人們對(duì)電梯的要求也會(huì)越來(lái)越高。而興建高層建筑是其中的有效措施之一。通過(guò)程序調(diào)試及運(yùn)行仿真,結(jié)果表明,本程序可以完成:電梯運(yùn)行所在樓層指示、電梯運(yùn)行方向指示、關(guān)門延時(shí)設(shè)置、看門狗報(bào)警、超載報(bào)警、故障報(bào)警等。但是隨著技術(shù)的革新和與國(guó)外的交流,當(dāng)今經(jīng)濟(jì)建設(shè)需求的各類電梯 , 幾乎全部 都 可以在中國(guó)生產(chǎn)。 大規(guī)模的經(jīng)濟(jì)建設(shè)尤其是蓬勃發(fā)展的房地產(chǎn)業(yè)給 國(guó)內(nèi) 電梯行業(yè)開拓了 更為 廣闊的市場(chǎng) 。 隨著經(jīng)濟(jì)建設(shè)的持續(xù)高速發(fā)展,我國(guó)電梯需求量越來(lái)越大。 電梯生產(chǎn)作為一門國(guó)家的新興產(chǎn)業(yè),它這種能有減少人口膨脹對(duì)環(huán)境所 造成的巨大壓力的特性,注定了其在中國(guó)具有一片光明的前景。本設(shè)計(jì)對(duì)更高層的電梯控制設(shè)計(jì)具有一定的拓展性。因此,能使人們快速、便捷地到達(dá)目的樓層的電梯便應(yīng)運(yùn)而生了。如何更安全、更快捷地到達(dá)目的樓層,也就成了人們對(duì)電梯最為根本的要求。電子設(shè)計(jì)在日常生活和物質(zhì)產(chǎn)品生產(chǎn)都占到了舉足輕重的地位,這尤其體現(xiàn)在其對(duì)電子產(chǎn)品的開發(fā)和設(shè)計(jì)上。其使用便捷,貨運(yùn)周期短,效率 高,成本低,對(duì)貨運(yùn)事業(yè)具有相當(dāng)?shù)慕?jīng)濟(jì)價(jià)值。 針對(duì)目前中小型電梯所能實(shí)現(xiàn)的功能,本控制設(shè)計(jì)擬實(shí)現(xiàn)以下這些 功能: ( 1)指示電梯運(yùn)行所在樓層; 2 ( 2)指示電梯運(yùn)行方向; ( 3)關(guān)門延時(shí)設(shè)置; ( 4)看門狗報(bào)警; ( 5)超載報(bào)警; ( 6)故障報(bào)警。 使用 VHDL 語(yǔ)言進(jìn)行程序的設(shè)計(jì),在 MAX+plusII 軟件上對(duì)程序進(jìn)行編譯 、 仿真。在利用 EDA 進(jìn)行集成電路設(shè)計(jì)時(shí),應(yīng)采用高效率的TOPDOWN 設(shè)計(jì)方法,即根據(jù)系統(tǒng)的行為和功能要求,自上而下地依次完成相應(yīng)的描述、綜合、優(yōu)化、仿真與驗(yàn)證,直到生成器件。就FPGA/CPLD 開發(fā)來(lái)說(shuō),比較常用和流行的 HDL 主要有 ABELHDL 和 VHDL 等。 從另一方面看,在現(xiàn)代高新電子產(chǎn)品的設(shè)計(jì)和生產(chǎn)中,微電子技術(shù)和現(xiàn)代電子設(shè)計(jì)技術(shù)是相互促進(jìn) 、 相互推動(dòng)又相互制約的兩個(gè)技術(shù)環(huán)節(jié)。從此, VHDL 成為硬件描述語(yǔ)言的業(yè)界標(biāo)準(zhǔn)之一。它提供了一種與結(jié)構(gòu)無(wú)關(guān)的設(shè)計(jì)環(huán)境,使設(shè)計(jì)者能方便地進(jìn)行設(shè)計(jì)輸入 、 快速處理和器件編程。當(dāng)不滿足要求 4 時(shí),重新返回設(shè)計(jì)輸入階段,修改設(shè)計(jì)輸入。 圖 1 MAX+plusII 設(shè)計(jì)流程 該方法將問(wèn)題的解決放在硬件組裝之前,既簡(jiǎn)化了 設(shè)計(jì)過(guò)程,又可以減少設(shè)備投資,縮短設(shè)計(jì)周期,增強(qiáng)設(shè)計(jì)者對(duì)設(shè)計(jì)過(guò)程的分析和制造的可控性。 (李惠升, 2020)為了實(shí)現(xiàn)電梯的控制,過(guò)去大多是采用 繼電器邏輯電路,這種邏輯控制方式具有原理簡(jiǎn)單、直觀的特點(diǎn),但是通用性較差,對(duì)不同的樓層和不同的控制方式,其原理圖、接線圖等必須重新制作,且控制系統(tǒng)由許多繼 電器觸點(diǎn)組成,接線復(fù)雜、故障率高。通常,電梯功能、層數(shù)變化時(shí),無(wú)需增減繼電器和大量的電路。 PLC 將 CPU、存儲(chǔ)器、 I/O 接口等做成一體,使用方便,擴(kuò)展容易。就電梯的控制方法而言,目前國(guó)產(chǎn)電梯廣泛采用可編程控制器技術(shù)的智能化控 制。由于電梯在運(yùn)行過(guò)程中各種輸入信號(hào)是隨機(jī)出現(xiàn)的,即信號(hào)的出現(xiàn)具有不確定性,同時(shí)信號(hào)需要自鎖保持、互鎖保存、優(yōu)先級(jí)排隊(duì)、數(shù)據(jù)比較 等,因此信號(hào)之間就存在復(fù)雜的邏輯關(guān)系。與通常的電器控制相比,單片機(jī)系統(tǒng)不需要通過(guò)“選層器”并且配備以大量的中間繼電器作為選層電路的控制設(shè)備,避免了設(shè)備多,檢修困難,運(yùn)行維護(hù)不便,造價(jià)成本高;應(yīng)用微機(jī)控制可以取消選層器和大量 的中間繼電器。電梯控制系統(tǒng)如何與網(wǎng)絡(luò)技術(shù)相結(jié)合將是未來(lái)電梯設(shè)計(jì)的主流趨勢(shì)。 電梯上網(wǎng)主要 能 實(shí)現(xiàn)以下功能: ( 1) 用網(wǎng)絡(luò)把所有電梯監(jiān)管起來(lái),保證電梯安全運(yùn)行,確保乘客安全。它采用一種可編程的存儲(chǔ)器,在其內(nèi)部存儲(chǔ)執(zhí)行邏輯運(yùn)算、順序控制、定時(shí)、計(jì)數(shù)和算術(shù)運(yùn)算等操作的指令,通過(guò)數(shù)字式或模擬式的輸入輸出來(lái)控制各種類型的機(jī)械設(shè)備或生產(chǎn)過(guò)程。 PLC 的 特點(diǎn) 可綜述如下 : ( 1) 高可靠性 ( 2) 編程簡(jiǎn)單,使用方便 ( 可采用梯形圖編程方式,與實(shí)際繼電器控制電路非常接近,一般電氣工作者很容易接受 ) ( 3) 環(huán)境要求低 ( 適用于惡劣的工業(yè)環(huán)境 ) 9 ( 4) 體積小,重量輕 ( 5) 擴(kuò)充方便,組合靈活 PLC 硬件框圖如圖 4 所示: 圖 4 PLC 硬件框圖 基于 EDA 技術(shù) 的電梯控制設(shè)計(jì)方案 隨著 電子 技術(shù)的發(fā)展, EDA 技術(shù) 在工業(yè)控制系統(tǒng)中得到了廣泛的應(yīng)用,在電梯控制電路 上采用 EDA 技術(shù)進(jìn)行開發(fā) ,越來(lái)越受到人們的重視。(王志鵬等, 2020) VHDL 豐富的仿真語(yǔ)句和庫(kù)函數(shù),使得在任何大系統(tǒng)的設(shè)計(jì)早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)的功能可行性,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。實(shí)體說(shuō)明中還可以說(shuō)明數(shù)據(jù)類型、子程序和常量等數(shù)據(jù)信息,實(shí)體語(yǔ)句常用于描述設(shè)計(jì)常用到的判斷和檢查信息。 VHDL 語(yǔ)言的基本術(shù)語(yǔ)往往能體現(xiàn)其嚴(yán)密的邏輯結(jié)構(gòu): VHDL 語(yǔ)言的基本術(shù)語(yǔ) 包括進(jìn)程( process)、類屬( generic)、屬性( attribute)以及驅(qū)動(dòng)( drive)。 其具體的流程圖如圖 5 所示。 這為電子設(shè)計(jì)的入門者提供了便捷的幫助 。因此,很難對(duì)電梯的運(yùn)行情況作出一個(gè)統(tǒng)一的分析。具體來(lái)說(shuō),就是當(dāng)電梯所在樓層低于發(fā)出梯外請(qǐng)求的樓層或者低于梯內(nèi)請(qǐng)求所要到達(dá)的目的樓層時(shí),電梯必須在下一操作中作出上升運(yùn)行。此時(shí),電梯就進(jìn)入預(yù)上升狀態(tài),準(zhǔn)備作上升運(yùn)行。 12 其分析圖如圖 7 所示。其分析圖如圖 8 所示。即在以順序邏輯控制實(shí)現(xiàn)電梯的基本控制要求的基礎(chǔ)上,根據(jù)隨機(jī)的輸入信號(hào),以及電梯的相應(yīng)狀態(tài)適時(shí)的控制電梯 的運(yùn)行。 ( 3) 對(duì)電梯開門、關(guān)門、報(bào)警等內(nèi)部信號(hào)處理的分析。如果此前出現(xiàn)提前關(guān)門信號(hào)而且電梯也已經(jīng)進(jìn)行完超重排除和故障排除,電梯同樣關(guān)門進(jìn)入預(yù)操作狀態(tài)。根據(jù) VHDL 語(yǔ)言的規(guī)則,程序必須由最基本的實(shí)體和結(jié)構(gòu)體構(gòu)成。 其端口分布如圖 12 所示: 圖 12 控制器的端口 17 ( 2) 由相關(guān)端口得到程序要求的寄存器包括: 電梯內(nèi)人請(qǐng)求到達(dá)一樓信號(hào)寄存( d11)、電梯內(nèi)人請(qǐng)求到達(dá)二樓信號(hào)寄存( d22)、 電梯內(nèi)人請(qǐng)求到達(dá)三樓信號(hào)寄存( d33); 一樓電梯外人上升請(qǐng)求信號(hào)寄存( c_u11)、二樓電梯外人上升請(qǐng)求信號(hào)寄存( c_u22); 二樓電梯外人下降請(qǐng)求信號(hào)寄存( c_d22)、三樓電梯外人下降請(qǐng)求信號(hào)寄存( c_d33); 分頻信號(hào)( q); 關(guān)門延時(shí)計(jì) 數(shù)器( q1)、看門狗計(jì)數(shù)器( q2); 電梯內(nèi)請(qǐng)求信號(hào)寄存器( dd); 電梯外上升請(qǐng)求信號(hào)寄存器( cc_u)、電梯外下降請(qǐng)求信號(hào)寄存器( cc_d); 電梯請(qǐng)求信號(hào)寄存器( dd_cc); 開門使能信號(hào)( opendoor); 電梯運(yùn)動(dòng)方向信號(hào)寄存器( updown); 預(yù)備上升操作使能( en_up)、預(yù)備下降預(yù)操作使能( en_dw)。 library ieee。 ( 2) entity 實(shí)體設(shè)計(jì)模塊 entity dianti is port ( clk : in std_logic。 d1,d2,d3: in std_logic。 led_c_u:out std_logic_vector(2 downto 0)。 ud,alarm : out std_logic。在 entity語(yǔ)句的實(shí)體說(shuō)明部分,用 port附語(yǔ)描述實(shí)體對(duì)外界連接的端口(數(shù)目、方向和數(shù)據(jù)類型),實(shí)體 dianti有 25個(gè)接口, 輸入模式是 clk/full/deng/quick/clr/c_u1/c_u2/c_d2/c_d3/d1/d2/d3/g1/g2/g3;其余的都是輸出模式,其中 door/led/led c_u/led c_d/led d是帶數(shù)組模式的輸出接口。 signal q:integer range 0 to 1。 signal opendoor:std_logic。本模塊以關(guān)鍵字 architecture做結(jié)構(gòu)體的開頭,描述 dianti實(shí)體的結(jié)構(gòu)體 behav。139。q2=0。139。 if q1=3 then door=10。d11。c_u22amp。39。 ud=updown。 end if。進(jìn)程說(shuō)明在時(shí)鐘信號(hào)和begin之間,說(shuō)明局部變量或某些僅在進(jìn)程內(nèi)部用的內(nèi)容。在 VHDL語(yǔ)言里,賦值符號(hào)一般都是“ =”符號(hào),具體形式如下: begin if clk39。139。039。139。 end if。 VHDL語(yǔ)言也具有與一般編程語(yǔ)言相同的一些語(yǔ)言邏輯結(jié)構(gòu),如上述中的“ if本程序只使用到上述的語(yǔ)言結(jié)構(gòu),相對(duì)簡(jiǎn)易。 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3: in std_logic。 電梯門控制信號(hào) led : out std_logic_vector(6 downto 0)。 電梯內(nèi)請(qǐng)求信號(hào)顯示 wahaha : out std_logic。139。 then 電梯前一運(yùn)動(dòng)狀態(tài)位上升 if d22=39。 then d22=39。 opendoor=39。 opendoor=39。 opendoor=39。139。039。139。039。039。 then led=0010010。event and clk=39。 then q1=0。 清除故障報(bào)警 elsif full=39。 q1=0。 elsif q=1 then q=0。139。q1=0。down=39。 then 上升預(yù)操作 if deng=39。q2=q2+1。 提前關(guān)門 elsif q1=6 then door=00。139。door=00。 then 下降預(yù)操作 if deng=39。q2=q2+1。 elsif q1=6 then door=00。139。door=00。039。 對(duì)電梯內(nèi)人請(qǐng)求信號(hào)進(jìn)行檢測(cè)和寄存 elsif d2=39。139。139。 then c_u22=c_u2。 then c_d22=c_d2。 end if。 電梯內(nèi)人請(qǐng)求信號(hào)并置 cc_u=39。c_u11。039。 電梯運(yùn)動(dòng)狀態(tài)顯示 led_d=dd。 程序調(diào)試 ( 1)建立好工作庫(kù)目錄,以便設(shè)計(jì)工程項(xiàng)目的存儲(chǔ),打開 MAX+plusII 軟件,其初始界面如圖 14 所示。文件名和實(shí)體定義的符號(hào)必須保持一致,即文件名為 dianti。在編譯前,需要把文件設(shè)置為頂層文件 ,或稱工程文件 Project。此時(shí),MAX+plusII 軟件會(huì)對(duì)程序進(jìn)行糾錯(cuò)等處理。通過(guò)“新建”按鈕,選擇“ Waveform E
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1