freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計(jì)論文-文庫(kù)吧在線文庫(kù)

  

【正文】 二樓電梯外人下降請(qǐng)求信號(hào)寄存( c_d22)、三樓電梯外人下降請(qǐng)求信號(hào)寄存( c_d33); 分頻信號(hào)( q); 關(guān)門(mén)延時(shí)計(jì) 數(shù)器( q1)、看門(mén)狗計(jì)數(shù)器( q2); 電梯內(nèi)請(qǐng)求信號(hào)寄存器( dd); 電梯外上升請(qǐng)求信號(hào)寄存器( cc_u)、電梯外下降請(qǐng)求信號(hào)寄存器( cc_d); 電梯請(qǐng)求信號(hào)寄存器( dd_cc); 開(kāi)門(mén)使能信號(hào)( opendoor); 電梯運(yùn)動(dòng)方向信號(hào)寄存器( updown); 預(yù)備上升操作使能( en_up)、預(yù)備下降預(yù)操作使能( en_dw)。如果此前出現(xiàn)提前關(guān)門(mén)信號(hào)而且電梯也已經(jīng)進(jìn)行完超重排除和故障排除,電梯同樣關(guān)門(mén)進(jìn)入預(yù)操作狀態(tài)。即在以順序邏輯控制實(shí)現(xiàn)電梯的基本控制要求的基礎(chǔ)上,根據(jù)隨機(jī)的輸入信號(hào),以及電梯的相應(yīng)狀態(tài)適時(shí)的控制電梯 的運(yùn)行。 12 其分析圖如圖 7 所示。具體來(lái)說(shuō),就是當(dāng)電梯所在樓層低于發(fā)出梯外請(qǐng)求的樓層或者低于梯內(nèi)請(qǐng)求所要到達(dá)的目的樓層時(shí),電梯必須在下一操作中作出上升運(yùn)行。 這為電子設(shè)計(jì)的入門(mén)者提供了便捷的幫助 。 VHDL 語(yǔ)言的基本術(shù)語(yǔ)往往能體現(xiàn)其嚴(yán)密的邏輯結(jié)構(gòu): VHDL 語(yǔ)言的基本術(shù)語(yǔ) 包括進(jìn)程( process)、類(lèi)屬( generic)、屬性( attribute)以及驅(qū)動(dòng)( drive)。(王志鵬等, 2020) VHDL 豐富的仿真語(yǔ)句和庫(kù)函數(shù),使得在任何大系統(tǒng)的設(shè)計(jì)早期就能查驗(yàn)設(shè)計(jì)系統(tǒng)的功能可行性,隨時(shí)可對(duì)設(shè)計(jì)進(jìn)行仿真模擬。它采用一種可編程的存儲(chǔ)器,在其內(nèi)部存儲(chǔ)執(zhí)行邏輯運(yùn)算、順序控制、定時(shí)、計(jì)數(shù)和算術(shù)運(yùn)算等操作的指令,通過(guò)數(shù)字式或模擬式的輸入輸出來(lái)控制各種類(lèi)型的機(jī)械設(shè)備或生產(chǎn)過(guò)程。電梯控制系統(tǒng)如何與網(wǎng)絡(luò)技術(shù)相結(jié)合將是未來(lái)電梯設(shè)計(jì)的主流趨勢(shì)。由于電梯在運(yùn)行過(guò)程中各種輸入信號(hào)是隨機(jī)出現(xiàn)的,即信號(hào)的出現(xiàn)具有不確定性,同時(shí)信號(hào)需要自鎖保持、互鎖保存、優(yōu)先級(jí)排隊(duì)、數(shù)據(jù)比較 等,因此信號(hào)之間就存在復(fù)雜的邏輯關(guān)系。 PLC 將 CPU、存儲(chǔ)器、 I/O 接口等做成一體,使用方便,擴(kuò)展容易。 (李惠升, 2020)為了實(shí)現(xiàn)電梯的控制,過(guò)去大多是采用 繼電器邏輯電路,這種邏輯控制方式具有原理簡(jiǎn)單、直觀的特點(diǎn),但是通用性較差,對(duì)不同的樓層和不同的控制方式,其原理圖、接線圖等必須重新制作,且控制系統(tǒng)由許多繼 電器觸點(diǎn)組成,接線復(fù)雜、故障率高。當(dāng)不滿(mǎn)足要求 4 時(shí),重新返回設(shè)計(jì)輸入階段,修改設(shè)計(jì)輸入。從此, VHDL 成為硬件描述語(yǔ)言的業(yè)界標(biāo)準(zhǔn)之一。就FPGA/CPLD 開(kāi)發(fā)來(lái)說(shuō),比較常用和流行的 HDL 主要有 ABELHDL 和 VHDL 等。 使用 VHDL 語(yǔ)言進(jìn)行程序的設(shè)計(jì),在 MAX+plusII 軟件上對(duì)程序進(jìn)行編譯 、 仿真。其使用便捷,貨運(yùn)周期短,效率 高,成本低,對(duì)貨運(yùn)事業(yè)具有相當(dāng)?shù)慕?jīng)濟(jì)價(jià)值。如何更安全、更快捷地到達(dá)目的樓層,也就成了人們對(duì)電梯最為根本的要求。本設(shè)計(jì)對(duì)更高層的電梯控制設(shè)計(jì)具有一定的拓展性。 隨著經(jīng)濟(jì)建設(shè)的持續(xù)高速發(fā)展,我國(guó)電梯需求量越來(lái)越大。但是隨著技術(shù)的革新和與國(guó)外的交流,當(dāng)今經(jīng)濟(jì)建設(shè)需求的各類(lèi)電梯 , 幾乎全部 都 可以在中國(guó)生產(chǎn)。而興建高層建筑是其中的有效措施之一。 在電子技術(shù)飛速發(fā)展的今天,現(xiàn)代電子產(chǎn)品幾乎滲透到了社會(huì)的各個(gè)領(lǐng)域,有力地推動(dòng)了社會(huì)生產(chǎn)力的發(fā)展和社會(huì)信息化程度 的提高,同時(shí)也使現(xiàn)代電子產(chǎn)品性能進(jìn)一步提高,加速了電子設(shè)計(jì)技術(shù)的普及進(jìn)程及技術(shù)革新。 本著 “ 一理通,百理明 ” 的原則,本設(shè)計(jì)希望通過(guò)在簡(jiǎn)單的三層電梯控制設(shè)計(jì)之中如何解決升、降請(qǐng)求信號(hào)因電梯所處狀態(tài)而產(chǎn)生的沖突等問(wèn)題發(fā)散出去,由此及彼,對(duì)高層電梯控制的開(kāi)發(fā)作一個(gè)技術(shù)的鋪墊,為高層電梯設(shè)計(jì)者提供一個(gè)基礎(chǔ)。隨著 超大規(guī)模集成電路 ( Very Large Scale Integration)規(guī)模和技術(shù)復(fù)雜度的急 劇增長(zhǎng),一塊芯片內(nèi)集成門(mén)已可達(dá)幾十萬(wàn)甚至幾百萬(wàn)門(mén),并且還在迅速增長(zhǎng),電子系統(tǒng)的人工設(shè)計(jì)已十分困難,必需依靠電子設(shè)計(jì)自動(dòng)化技術(shù)。簡(jiǎn)單來(lái)說(shuō)就是依賴(lài)功能強(qiáng)大的計(jì)算機(jī),在 EDA 工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言 HDL 為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地 完成邏輯編譯 、 邏輯化簡(jiǎn) 、 邏輯分割 、 邏輯綜合 、 結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。 MAX+plusII 軟件介紹 MAX+plusII 是 Altera 公司提供的 FPGA/CPLD 開(kāi)發(fā)集成環(huán)境,其界面友好,使用便捷,被譽(yù)為業(yè)界最易用意學(xué)的 EDA 軟件,目前已發(fā)行到 版本。其具體的流程圖如圖 1 所示。只要把按鈕、限位開(kāi)關(guān)、光電開(kāi)關(guān)、無(wú)觸點(diǎn)行程開(kāi)關(guān)等電器元件作為輸入信號(hào),而把制動(dòng)器、接觸器等功率輸出元件接到輸出端,就算完成了接線任務(wù)。 (馬宏騫, 2020)電梯既是一種特殊的起重運(yùn)輸設(shè)備,具有完善的機(jī)械專(zhuān)用構(gòu)造,又是一種比較復(fù)雜的機(jī)電一體化的大型工業(yè)產(chǎn)品,具有復(fù)雜的電氣控制系統(tǒng)。對(duì)電梯的控制主要是選層、啟動(dòng)、換速、平層、停車(chē)等幾個(gè)環(huán)節(jié),其中以選層環(huán)節(jié)最為復(fù)雜。在將來(lái)各大品牌廠家為了生存和發(fā)展都會(huì)在公共網(wǎng)絡(luò)系統(tǒng)中建立自己電梯網(wǎng)站(電 梯專(zhuān)用平臺(tái)),這也是一條必由之路 。由于它可通過(guò)軟件來(lái)改變控制過(guò)程,而且具有體積小、組裝維護(hù)方便、編程簡(jiǎn)單、可靠性高、抗干擾能力強(qiáng)等特點(diǎn),已廣泛應(yīng)用于工業(yè)控制的各個(gè)領(lǐng)域,大大推進(jìn)了機(jī)電一體化的進(jìn)程。 初級(jí)設(shè)計(jì)單元――實(shí)體( entity) ,是設(shè)計(jì)的基本模塊和設(shè)計(jì)的初級(jí)單元,在分層次設(shè)計(jì)中,頂層有頂級(jí)實(shí)體,含在頂級(jí)實(shí)體中的較低層次的描述為低級(jí)實(shí)體 ,靠配置把頂層 10 實(shí)體和底層實(shí)體連接起來(lái)。進(jìn)程內(nèi)不能說(shuō)明信號(hào),而變量在進(jìn)程內(nèi)說(shuō)明。 5 程序設(shè)計(jì)及調(diào)試 算法分析 電梯運(yùn)行規(guī)則 ( 1) 請(qǐng)求信號(hào)分析: 電梯的請(qǐng)求信號(hào)可分為梯內(nèi)請(qǐng)求和梯外請(qǐng)求,如果從這個(gè)角度就很難去進(jìn)行對(duì)電梯運(yùn)行可能情況的分析,因?yàn)?電梯的運(yùn)行是根據(jù) 梯外 和 梯內(nèi) 的 請(qǐng)求 信號(hào)、行程信號(hào)進(jìn)行控制,而 梯外 和 梯內(nèi) 的 請(qǐng)求 是隨機(jī) 且不能以有限的規(guī)則去對(duì)其進(jìn)行說(shuō)明 的 。 ( 2)電梯處于各樓層時(shí)的運(yùn)行情況: 處于一樓時(shí),不管是梯內(nèi)梯外,電梯都只可能接收到上升的請(qǐng)求信號(hào)。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則返回一樓待機(jī)。該動(dòng)作完畢后,被保存的 寄存器中的 數(shù)據(jù) 清除 ,以實(shí)現(xiàn) 下一次 隨機(jī)邏輯控制。對(duì)程序進(jìn)行模塊化構(gòu)思。 ( 1)調(diào)用 VHDL 庫(kù) 通過(guò) library 語(yǔ)句,本程序應(yīng)用了 VHDL 庫(kù)中的“通用 ieee 庫(kù)”和“標(biāo)準(zhǔn) std 庫(kù)”。 c_d2,c_d3: in std_logic。 wahaha : out std_logic。 signal c_d22,c_d33:std_logic。 begin :process(clk) 19 event and clk=39。 elsif full=39。 amp。 end if。 關(guān)鍵字 begin把進(jìn)程語(yǔ)句分為進(jìn)程說(shuō)明和進(jìn)程語(yǔ)句兩部分。 then if clr=39。 then alarm=39。elsif這是 VHDL中的順序語(yǔ)句,與我們常見(jiàn)的 C語(yǔ)言中 if作為條件語(yǔ)句不同。 到達(dá)樓層信號(hào) door : out std_logic_vector(1 downto 0)。 電機(jī)控制信號(hào)和電梯運(yùn)動(dòng) 在結(jié)構(gòu)體中對(duì)電梯的運(yùn)行行為作出描述,其中以電梯處于二樓的情況相對(duì)復(fù)雜,對(duì)此需作出具體說(shuō)明,給出如下: elsif g2=39。139。139。 電梯前一運(yùn)動(dòng)狀態(tài)為下降 elsif d22=39。opendoor=39。 opendoor=39。 在進(jìn)程執(zhí)行單元里,對(duì)電梯在樓層時(shí)的操作情況作出了描述,例如:開(kāi)門(mén)、關(guān)門(mén)延時(shí)、超載報(bào)警、故障報(bào)警以及電梯內(nèi)的請(qǐng)求信號(hào)處理,具體說(shuō)明給出如下: :process(clk) begin if clk39。039。 end if。 then door=10。139。 then q1=3。 電梯進(jìn)入關(guān)門(mén)狀態(tài) else q1=q1+1。q1=0。down=39。 then d11=d1。 if c_u1=39。139。d11。39。 電梯外人下降請(qǐng)求信號(hào)顯示 end if。 圖 17 文本輸入保存界面 ( 5)保存以后,對(duì)程序進(jìn)行編譯。 6 程序仿真 波形輸入建立 ( 1)編譯完全通過(guò)后,新建波形編輯器進(jìn)行設(shè)計(jì)仿真。 圖 22 對(duì) clk 信號(hào)賦值圖 29 ( 5)在進(jìn)行仿真前,還需對(duì)仿真截止時(shí)間和最小單位時(shí)間進(jìn)行一下調(diào)整。 圖 25 運(yùn)行情況之一 30 說(shuō)明: up:在電梯接收到 c_d3 后,賦值就為 1,表示電梯受控制處于上升過(guò)程;當(dāng)電梯運(yùn)行到三樓以后,賦值變?yōu)?0。 電梯到達(dá)二樓 ,載客繼續(xù)上升。 down:在電梯到達(dá)三樓后,賦值就為 1,表示電梯受控制處于下降過(guò)程;當(dāng)電梯運(yùn)行到一樓以后,賦值變?yōu)?0。),發(fā)出超載警報(bào) alarm;超載信號(hào)消失( full=39。這表示當(dāng)電梯首次關(guān)門(mén)失敗后(即 deng 首次賦值為1 時(shí)),電梯便一直處于故障報(bào)警狀態(tài)下,直到技術(shù)員處理完故障后,用 clr 才可以清除其報(bào)警。在程序仿真之初,這個(gè)問(wèn)題就曾一度被忽視。如何解決信號(hào)的延時(shí),也是本設(shè)計(jì)未來(lái)需解決的主要問(wèn)題之一。對(duì)于高層擴(kuò)展,這種方式具有其所特有的適應(yīng)性。 最后,再次感謝王衛(wèi)星老師、宋淑然老師所給予的學(xué)術(shù)上、生活上的指導(dǎo)和啟迪,更要感謝為了我的成長(zhǎng)進(jìn)步而奔波勞碌的父母。 然后仿照原三樓的運(yùn)行描述 , 對(duì)四樓的運(yùn)行狀態(tài)進(jìn)行設(shè) 計(jì)。這個(gè)問(wèn)題的存在, 會(huì)因?yàn)殡娞莸念l繁使用而間接 影響到電梯 的操作壽命以及會(huì)造成能源浪費(fèi)的局面。 ( 2)信號(hào)傳輸延時(shí)的問(wèn)題。 q1 信號(hào)從 1 跳到 3,進(jìn)入關(guān)門(mén)狀態(tài)。 當(dāng)連續(xù)的關(guān)門(mén)中斷的次數(shù)超過(guò) 3 次時(shí),不認(rèn)為是出自乘客的需要,而認(rèn)為是故障,并報(bào)警,等技術(shù)員處理完故障時(shí),用 clr 信號(hào)才可以清除報(bào)警。 32 電梯功能仿真 電梯的功能包括:( 1)提前關(guān)門(mén);( 2)看門(mén)狗報(bào)警;( 3)超載報(bào)警; ( 4)故障報(bào)警。 仿真情況如圖 26 所示。這些就是樓層指示,依次代表 3。 ( 1)電梯在不同時(shí)段接收到請(qǐng)求信號(hào)的情況: 電梯處于一樓,接收到三樓的梯外下降請(qǐng)求( c_d3),于是電梯運(yùn)行到三樓,中途經(jīng)過(guò)二樓時(shí)不作停頓。 ( 3)在編輯器的 Name 欄點(diǎn)擊鼠標(biāo)右鍵,選擇“ Enter Nodes from SNF”選擇添加需要觀察的節(jié)點(diǎn)信號(hào)。 圖 18 設(shè)置頂層文件 27 ( 6) 在“ MAX+plusII”下拉按鈕里選擇 ”Compiler”,對(duì)程序進(jìn)行編譯。 圖 15 輸入路徑選擇為文本輸入 ( 3)在文本輸入界面內(nèi)進(jìn)行程序輸入,如圖 16 所示: 25 圖 16 程序輸入界面 26 ( 4)輸入完畢后,需要對(duì)對(duì)程序進(jìn)行 保存。 ud=updown。c_u22amp。 then c_d33=c_d3。139。 elsif d3=39。alarm=39。 else q1=q1+1。 then q1=3。139。up=39。q1=0。039。 if q2=3 then wahaha=39。139。139。139。 opendoor=39。 then d22=39。139。039。139。 電梯外人下降請(qǐng)求信號(hào)顯示 led_d : out std_logic_vector(2 downto 0)。 超載、關(guān)門(mén)中斷、提前關(guān)門(mén)清除報(bào)警信號(hào) c_u1,c_u2: in std_logic。 then else door=00。wahaha=39。在這些語(yǔ)句里,賦值語(yǔ)句占了相當(dāng)一部分。 led_c_d=cc_d。c_d22amp。d22amp。 q1=0。 then q1=0。 由于篇幅的關(guān)系,結(jié)構(gòu)體部分并不能盡錄。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0)。 實(shí)體語(yǔ)句用關(guān)鍵詞 entity開(kāi)頭,本程序以 dianti(電梯的漢語(yǔ)拼音)作為所要描述的實(shí)體名,在結(jié)束實(shí)體語(yǔ)句的時(shí)候使用 end dianti。 led : out std_logic_vector(3 downto 0)。 use 。具體流程圖如圖 11 所示: 圖 11 總程序流程圖 程序開(kāi)始 VHDL 庫(kù)調(diào)用 設(shè)置控制器的端口 設(shè)置相關(guān)的寄存器 電梯運(yùn)行規(guī)則描述 電梯信號(hào)處理 程序結(jié)束 16 程序設(shè)計(jì)說(shuō)明 、寄存器設(shè)計(jì)說(shuō)明 ( 1) 由功能要求得到本程序設(shè)計(jì)的端口必須包括: 時(shí)鐘信號(hào)( clk);
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1