freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計論文(編輯修改稿)

2025-06-20 00:46 本頁面
 

【文章內(nèi)容簡介】 行為、數(shù)據(jù)流和結(jié)構(gòu)等多種方式描述實體。 VHDL 語言還包括程序包( package)和配置( configration)初級設(shè)計單元。 VHDL 語言的基本術(shù)語往往能體現(xiàn)其嚴(yán)密的邏輯結(jié)構(gòu): VHDL 語言的基本術(shù)語 包括進(jìn)程( process)、類屬( generic)、屬性( attribute)以及驅(qū)動( drive)。 進(jìn)程( process)是 VHDL 中的基本執(zhí)行單元,仿真時把所有的操作劃分為單個或多個進(jìn)程。進(jìn)程內(nèi)部只含順序執(zhí)行的語句,即一串信號賦值中僅最后的賦值有效。進(jìn)程內(nèi)不能說明信號,而變量在進(jìn)程內(nèi)說明。 其具體的流程圖如圖 5 所示。 圖 5 VHDL 設(shè)計流程圖 方案選擇 對于 符合市場需求的大規(guī)模系統(tǒng) ,要達(dá)到 高效 、 高速完成 , 必須有多人甚至多個 開設(shè)計思想及模塊化 設(shè)計輸入 設(shè)計實現(xiàn) 設(shè)計仿真 器件編程 系統(tǒng)測試 11 發(fā)組共 同并行工作才能實現(xiàn)。對于用 EDA 技術(shù) 完成的一個確定的設(shè)計,可以利用 相應(yīng)的工具 平臺 進(jìn)行邏輯綜合和優(yōu)化 ,完成設(shè)計任務(wù)?;?EDA 技術(shù)的 VHDL 語言 對設(shè)計的描述具有相對獨立性,設(shè)計者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計實現(xiàn)的目標(biāo)器件是什么,而進(jìn)行獨立的設(shè)計。 這為電子設(shè)計的入門者提供了便捷的幫助 。 相信在不遠(yuǎn)的將來,我國相關(guān)的專業(yè)技術(shù)人員使用 EDA 技術(shù)進(jìn)行工程設(shè)計,就象現(xiàn)在使用計算器一樣,雖然大部分人不能開辦集成電路制造廠,但是卻能快速、經(jīng)濟(jì)地制造 (設(shè)計 ) 自已的專用集成電路或集成電子系統(tǒng)。 就上述比較而言,本設(shè) 計采用 EDA 方案更恰當(dāng)。 5 程序設(shè)計及調(diào)試 算法分析 電梯運行規(guī)則 ( 1) 請求信號分析: 電梯的請求信號可分為梯內(nèi)請求和梯外請求,如果從這個角度就很難去進(jìn)行對電梯運行可能情況的分析,因為 電梯的運行是根據(jù) 梯外 和 梯內(nèi) 的 請求 信號、行程信號進(jìn)行控制,而 梯外 和 梯內(nèi) 的 請求 是隨機 且不能以有限的規(guī)則去對其進(jìn)行說明 的 。因此,很難對電梯的運行情況作出一個統(tǒng)一的分析。出于這方面的考慮,本設(shè)計把電梯的請求信號劃分為上升請求和下降請求。 電梯接收到請求信號后,都必須作預(yù)操作。使電梯進(jìn)入預(yù)上升狀態(tài)的請求信號就是上升 請求信號。具體來說,就是當(dāng)電梯所在樓層低于發(fā)出梯外請求的樓層或者低于梯內(nèi)請求所要到達(dá)的目的樓層時,電梯必須在下一操作中作出上升運行。這時的請求信號就是上升請求信號。反之,則是下降請求信號。 ( 2)電梯處于各樓層時的運行情況: 處于一樓時,不管是梯內(nèi)梯外,電梯都只可能接收到上升的請求信號。此時,電梯就進(jìn)入預(yù)上升狀態(tài),準(zhǔn)備作上升運行。如果電梯沒有接收到請求信號,電梯則在一樓待機。其分析圖如圖 6 所示。 處于二樓時,電梯則可能出現(xiàn)三種情況: ① 電梯并沒有接收到梯內(nèi)梯外的任何請求信號時,電梯返回一樓待機; ② 電梯 接收到上升請求信號,進(jìn)入預(yù)上升狀態(tài); ③ 電梯接收到下降請求信號,進(jìn)入預(yù)下降狀態(tài)。 12 其分析圖如圖 7 所示。 處于三樓時,不管是梯內(nèi)梯外,電梯都只可能接收到下降的請求信號。此時,電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運行。如果電梯沒有接收到請求信號,電梯則返回一樓待機。其分析圖如圖 8 所示。 處 于 一 樓預(yù) 上 升待 機上升信號無信號 圖 6 電梯處于一樓時的運行可能分析 處 于 二 樓預(yù) 上 升預(yù) 下 降返 回 一 樓待 機上升信號無 信 號下降信號 圖 7 電梯處于二樓時的運行可能分析 處 于 三 樓預(yù) 下 降返 回 一 樓 待機下降信號無信號 圖 8 電梯處于三樓時的運行可能分析 對上述電梯的運行情況進(jìn)行匯總,可以得到如圖 9 的電梯運行狀態(tài)圖。 13 一 樓 待 機預(yù) 上 升二 樓預(yù) 下 降 預(yù) 上 升三 樓預(yù) 下 降無信號、下降信號上 升 信 號上升信號無 信 號 、 下 降 信 號無 信 號 圖 9 電梯運行狀態(tài)圖 在電梯運行過程中,存在一個如何處理信號優(yōu)先的問題。 電梯實際上是一個人機交互式的控制系統(tǒng),單純用順序 優(yōu)先 或邏輯 優(yōu)先 是不能滿足控制要求的,因此, 控制 系統(tǒng)采用隨機邏輯方式控制 去解決信號優(yōu)先的問題 。即在以順序邏輯控制實現(xiàn)電梯的基本控制要求的基礎(chǔ)上,根據(jù)隨機的輸入信號,以及電梯的相應(yīng)狀態(tài)適時的控制電梯 的運行。例如,當(dāng)電梯在三樓時,二樓、一樓梯外均有上升請求信號: 電梯以 向下的方向 接近 二樓的減速位置,判別 二 樓 具 有同向的 請求 信號,在 二 樓減速停 止載客,然后繼續(xù)下降到 14 一樓載客。又假如 , 當(dāng)電梯在三樓時,梯內(nèi)有到達(dá)一樓的下降請求信號,而二樓則有上升請求信號:當(dāng) 電梯以 向下的方向 接近 二 樓的減速位置 時,判別二樓具有反向的請求信號,系統(tǒng)將把二樓的上升請求保存到上升請求 寄存 上 , 不在二樓作停泊繼續(xù)下降到一樓去載客,然后讀取上升請求 寄存 中先前二樓的上升請求,執(zhí)行上升到二樓載客的操作 。該動作完畢后,被保存的 寄存器中的 數(shù)據(jù) 清除 ,以實現(xiàn) 下一次 隨機邏輯控制。 ( 3) 對電梯開門、關(guān)門、報警等內(nèi)部信號處理的分析。其流程如圖 10 所示: 接 到 樓 層 請 求 信 號電 梯 運 行 到 達(dá) 該 樓 層開 門 使 能 信 號關(guān) 門 延 時 信 號 倒 數(shù)關(guān) 門 使 能 信 號接 受 并 處 理 請 求 信 號電 梯 進(jìn) 入 運 行 狀 態(tài)超 重 檢 測故 障 檢 測故 障 處 理 待 機故 障 報 警提 前 關(guān) 門提 前 關(guān) 門 請 求NNYY 圖 10 電梯內(nèi)外信號處理 當(dāng)電梯接收到請求信號后,將以隨機邏輯控制的方式到達(dá)發(fā)出請求的樓層。開門使能信號促使電梯開門載客,同時驅(qū)動關(guān)門延時信號、超重檢測以及故障檢測。在電梯進(jìn) 15 行完關(guān)門倒數(shù)計時、超重排除以及故障排除后,關(guān)門使能信號將促使電梯關(guān)門進(jìn)入預(yù)操作狀態(tài)。如果此前出現(xiàn)提前關(guān)門信號而且電梯也已經(jīng)進(jìn)行完超重排除和故障排除,電梯同樣關(guān)門進(jìn)入預(yù)操作狀態(tài)。 電梯在超重檢測時發(fā)現(xiàn)超重,關(guān)門中斷信號會促使電梯發(fā)出超重警報并且進(jìn)行開門操作以減少乘客,重新進(jìn)入載客操作;電梯在故障檢測時,發(fā)現(xiàn)電梯某部分出現(xiàn)故障,關(guān)門中斷信號會促使電梯發(fā)出故障報警并且進(jìn)入開門操作的同時停止關(guān)門延時,作故障處理待機。 程序流程分析 電梯的運行規(guī)則確立后,需對整個控制程序的設(shè)計作一個流程規(guī)范。對程序進(jìn)行模塊化構(gòu)思。根據(jù) VHDL 語言的規(guī)則,程序必須由最基本的實體和結(jié)構(gòu)體構(gòu)成。實體對控制器的端口進(jìn)行定義,結(jié)構(gòu)體對各端口的行為進(jìn)行描述。因此程序運行需經(jīng)過以下流程: VHDL 庫調(diào)用;確立 控制器的端口及相關(guān)的寄存器;根據(jù)電梯運行規(guī)則,設(shè)計相關(guān)運行描述;對電梯內(nèi)外信號進(jìn)行處理。具體流程圖如圖 11 所示: 圖 11 總程序流程圖 程序開始 VHDL 庫調(diào)用 設(shè)置控制器的端口 設(shè)置相關(guān)的寄存器 電梯運行規(guī)則描述 電梯信號處理 程序結(jié)束 16 程序設(shè)計說明 、寄存器設(shè)計說明 ( 1) 由功能要求得到本程序設(shè)計的端口必須包括: 時鐘信號( clk); 超載信號( full)、關(guān)門中斷信號( deng)、提前關(guān)門信號( quick)、清除報警信號( clr); 一樓電梯外人的上升請求信號( c_u1)、二樓電梯外人的上升請求信號( c_u2); 二樓電梯外人的下降請求信號( c_d2)、三樓電梯外人的下降請求信號( c_d3); 電梯內(nèi)人請求到達(dá)一樓信號( d1)、電梯內(nèi)人請求到達(dá)二樓信號( d2)、電梯內(nèi)人請求到達(dá)三樓信號( d3); 到達(dá)一樓信號( g1)、到達(dá)二樓信號( g2)、到達(dá)三樓信號( g3); 電梯門控制信號( door); 電梯所在樓層顯示( led); 電梯外人上升請求信號顯示( led_c_u)、電梯外人下降請求信號顯示( led_c_d); 電梯內(nèi)請求信號顯示( led_d);看門狗報警信號( wahaha)、超載警告信號( alarm); 電梯運動方 向顯示( ud); 電機向上控制信號( up)、電機向下控制信號( down)。 其端口分布如圖 12 所示: 圖 12 控制器的端口 17 ( 2) 由相關(guān)端口得到程序要求的寄存器包括: 電梯內(nèi)人請求到達(dá)一樓信號寄存( d11)、電梯內(nèi)人請求到達(dá)二樓信號寄存( d22)、 電梯內(nèi)人請求到達(dá)三樓信號寄存( d33); 一樓電梯外人上升請求信號寄存( c_u11)、二樓電梯外人上升請求信號寄存( c_u22); 二樓電梯外人下降請求信號寄存( c_d22)、三樓電梯外人下降請求信號寄存( c_d33); 分頻信號( q); 關(guān)門延時計 數(shù)器( q1)、看門狗計數(shù)器( q2); 電梯內(nèi)請求信號寄存器( dd); 電梯外上升請求信號寄存器( cc_u)、電梯外下降請求信號寄存器( cc_d); 電梯請求信號寄存器( dd_cc); 開門使能信號( opendoor); 電梯運動方向信號寄存器( updown); 預(yù)備上升操作使能( en_up)、預(yù)備下降預(yù)操作使能( en_dw)。 模塊設(shè)計說明 本程序由三個基本模塊組成,包括調(diào)用 VHDL 庫模塊、實體設(shè)計模塊和結(jié)構(gòu)體設(shè)計模塊。而在結(jié)構(gòu)體模塊中又內(nèi)嵌有進(jìn)程執(zhí)行單元。 ( 1)調(diào)用 VHDL 庫 通過 library 語句,本程序應(yīng)用了 VHDL 庫中的“通用 ieee 庫”和“標(biāo)準(zhǔn) std 庫”。 library ieee。 use 。 use 。 use 。 ( 2) entity 實體設(shè)計模塊 entity dianti is port ( clk : in std_logic。 full,deng,quick,clr : in std_logic。 c_u1,c_u2: in std_logic。 c_d2,c_d3: in std_logic。 d1,d2,d3: in std_logic。 g1,g2,g3: in std_logic。 18 door : out std_logic_vector(1 downto 0)。 led : out std_logic_vector(3 downto 0)。 led_c_u:out std_logic_vector(2 downto 0)。 led_c_d:out std_logic_vector(2 downto 0)。 led_d : out std_logic_vector(2 downto 0)。 wahaha : out std_logic。 ud,alarm : out std_logic。 up,down : out std_logic )。 end dianti。 實體語句用關(guān)鍵詞 entity開頭,本程序以 dianti(電梯的漢語拼音)作為所要描述的實體名,在結(jié)束實體語句的時候使用 end dianti。在 entity語句的實體說明部分,用 port附語描述實體對外界連接的端口(數(shù)目、方向和數(shù)據(jù)類型),實體 dianti有 25個接口, 輸入模式是 clk/full/deng/quick/clr/c_u1/c_u2/c_d2/c_d3/d1/d2/d3/g1/g2/g3;其余的都是輸出模式,其中 door/led/led c_u/led c_d/led d是帶數(shù)組模式的輸出接口。 ( 3) architecture結(jié)構(gòu)化設(shè)計模塊和 process進(jìn)程執(zhí)行單元 dianti符號下的線路圖描述 dianti網(wǎng)表,與線路圖對應(yīng)的 VHDL architecture就是結(jié)構(gòu)體,實體 dianti以結(jié)構(gòu)化與行為化描述的結(jié)構(gòu)體如下: architecture behav of dianti is signal d11,d22,d33:std_logic。 signal c_u11,c_u22:std_logic。 signal c_d22,c_d33:std_logic。 signal q:integer range 0 to 1。 signal q1:integer range 0 to 6。 signal q2:integer range 0 to 9。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0)。 signal opendoor:std_logic。 signal updown:st
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1