freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計(jì)論文(存儲(chǔ)版)

  

【正文】 ..................................................... 23 6 程序仿真 ............................................................... 27 波形輸入建立 ......................................................... 27 電梯運(yùn)行情況仿真 ..................................................... 29 電梯功能仿真 ......................................................... 32 7 結(jié)論 ................................................................... 33 設(shè)計(jì)功能實(shí)現(xiàn)情況 ..................................................... 33 設(shè)計(jì)存在問題 ......................................................... 33 進(jìn)一步完善建議 ....................................................... 33 致 謝 ................................................................... 35 參 考 文 獻(xiàn) .............................................................. 36 英 文 摘 要 .............................................................. 37 附 錄 ................................................................... 38 畢業(yè)設(shè)計(jì)成績(jī)?cè)u(píng)定表 1 1 前言 問題的提出 當(dāng)今世界,部分地區(qū)人口高度密集,人和土地資源短缺的矛盾日趨激化。 由此, 一個(gè) 更為龐大的 電梯市場(chǎng)已經(jīng) 在國(guó)內(nèi)轟然 形成。 我國(guó)以前主要都是依靠國(guó)外的進(jìn)口技術(shù),本國(guó)的電梯廠商主要都是依靠為進(jìn)口電梯作銷售代理或者售后維修進(jìn)行經(jīng)營(yíng)。這就注定了必須合理地利用土地去解決人與土地的矛盾。因此,控制系統(tǒng)的設(shè)計(jì)就成了在電梯設(shè)計(jì)領(lǐng)域里最為核心的技術(shù)。因此,在某種層面上說(shuō),三層電梯的設(shè)計(jì)也具有一定的社會(huì)價(jià)值。 EDA 技術(shù)介紹 EDA 技術(shù)是 20 世紀(jì) 90 年代初從計(jì)算機(jī)輔助設(shè)計(jì)( Computer Adied Design)、計(jì)算機(jī)輔助制造( Computer Adied Manufacture)、計(jì)算機(jī)輔助測(cè)試( Computer Adied Testing)和計(jì)算機(jī)輔助工程( Computer Adied Engineering)的概念發(fā)展而來(lái)的。它主要采用并行工程和 “ 自頂向下 ” 的設(shè)計(jì)方 3 法 ,使開發(fā)者從一開始就要考慮到產(chǎn)品生成周期的諸多方面,包括質(zhì)量、成本、開發(fā)時(shí)間及用戶的需求等等,然后從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級(jí)進(jìn)行仿真、糾錯(cuò)、并用 VHDL、 VerilogHDL、 ABEL 等硬件描述語(yǔ)言對(duì)高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證,然后再用邏輯綜合優(yōu)化工具生成具體的門級(jí)邏輯電路的網(wǎng)表,其對(duì)應(yīng)的物理實(shí)現(xiàn)級(jí)可以是印刷電路板或?qū)S眉呻娐贰?VHDL 具有與具體硬件電路無(wú)關(guān)和設(shè)計(jì)平臺(tái)無(wú)關(guān)的特性,并且具有良好的電路行為 描述和系統(tǒng)描述的能力, 并在語(yǔ)言易讀性和層次化結(jié)構(gòu)化設(shè)計(jì)方面,表現(xiàn)了強(qiáng)大的生命力和應(yīng)用潛力。最后則是測(cè)試芯片在系統(tǒng)中的實(shí)際運(yùn)行性能。 由 PLC 或微機(jī)實(shí)現(xiàn)繼電器的邏輯控制功能,具有較大的靈活性,不同的控制方式可用相同的硬件,只是軟件各不相同。因此,目前在國(guó)產(chǎn)電梯及中低檔的客梯廣泛采用了 PLC 控制系統(tǒng),特別適合在用電梯的技術(shù)改造。 單片機(jī)在電梯控制中的應(yīng)用介紹 (張婭莉等, 2020)單片機(jī)體積小,處理速度快,價(jià)格低廉,功能強(qiáng)大,是合適的控制系統(tǒng)。電梯上網(wǎng)能確保為客戶提供更優(yōu)質(zhì)全程的服務(wù)。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的迅猛發(fā)展,可編程控制器更多地具有了計(jì)算機(jī)的功能,不僅能實(shí)現(xiàn)邏輯控制,還具有 了數(shù)據(jù)處理、通信、網(wǎng)絡(luò)等功能。 VHDL 語(yǔ)言的設(shè)計(jì)單元包括實(shí)體( entity) 、結(jié)構(gòu)體 (architecture)、程序包( package)以及配置( configration)。進(jìn)程內(nèi)部只含順序執(zhí)行的語(yǔ)句,即一串信號(hào)賦值中僅最后的賦值有效。 就上述比較而言,本設(shè) 計(jì)采用 EDA 方案更恰當(dāng)。反之,則是下降請(qǐng)求信號(hào)。此時(shí),電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運(yùn)行。又假如 , 當(dāng)電梯在三樓時(shí),梯內(nèi)有到達(dá)一樓的下降請(qǐng)求信號(hào),而二樓則有上升請(qǐng)求信號(hào):當(dāng) 電梯以 向下的方向 接近 二 樓的減速位置 時(shí),判別二樓具有反向的請(qǐng)求信號(hào),系統(tǒng)將把二樓的上升請(qǐng)求保存到上升請(qǐng)求 寄存 上 , 不在二樓作停泊繼續(xù)下降到一樓去載客,然后讀取上升請(qǐng)求 寄存 中先前二樓的上升請(qǐng)求,執(zhí)行上升到二樓載客的操作 。 程序流程分析 電梯的運(yùn)行規(guī)則確立后,需對(duì)整個(gè)控制程序的設(shè)計(jì)作一個(gè)流程規(guī)范。而在結(jié)構(gòu)體模塊中又內(nèi)嵌有進(jìn)程執(zhí)行單元。 c_u1,c_u2: in std_logic。 led_d : out std_logic_vector(2 downto 0)。 signal c_u11,c_u22:std_logic。 signal en_up,en_dw:std_logic。 :process(clk) begin if clk39。039。 end if。039。 dd_cc=dd or cc_u or cc_d。 關(guān)鍵字 process后面括號(hào)中的信號(hào)為時(shí)鐘信號(hào),它列舉可激活進(jìn)程語(yǔ)句的信號(hào),唯有這些信號(hào)上的事件能引起進(jìn)程語(yǔ)句執(zhí)行。139。139。 ?!钡取? 電梯內(nèi)人的請(qǐng)求信號(hào) g1,g2,g3 : in std_logic。 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào) up,down : out std_logic )。 or c_u22=39。 有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc011 then en_up=39。 有下降請(qǐng)求,則電梯進(jìn)入預(yù)備下降狀態(tài) end if。039。139。 所以在一樓時(shí) led 賦值為“ 1001111”,三樓時(shí) led 賦值為“ 0000110”。wahaha=39。 else door=00。139。 開門操作 elsif en_up=39。139。q1=q1+1。 then door=10。039。 23 end if。139。 end if。 if c_d2=39。d22amp。c_d22amp。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d=cc_d。由于文件后綴名都默認(rèn)為 TDF,在初次調(diào)試時(shí)并沒有注意這個(gè)問題,結(jié)果在編譯的時(shí)候老是出錯(cuò)。 圖 19 編譯完成 在編譯完成以后,程序的調(diào)試亦告完結(jié)。如對(duì)時(shí)鐘信號(hào) clk 賦周期為 25ms 的周期信號(hào),如圖 22 所示。觀察以下信號(hào): up、 ud、 down、 led、 led_c_d、 led_d。 ( 2)當(dāng)電梯在同一時(shí)間段 里接收到幾種不同的請(qǐng)求信號(hào)時(shí): 電梯停在一樓,在同一時(shí)間段里接受到幾個(gè)請(qǐng)求信號(hào),包括梯內(nèi)上升到三樓的請(qǐng)求( d3)、二樓的梯外上升請(qǐng)求( c_u2)和三樓的梯外下降請(qǐng)求( c_d3)。 ud:從電梯接收請(qǐng)求信號(hào)后到電梯運(yùn)行到三樓為止,賦值為 1 表示電梯此時(shí)向上運(yùn)行;其余時(shí)間段都為 0,表示電梯此時(shí)向下運(yùn)行或者待機(jī)。139。 圖 27 功能仿真圖 說(shuō)明: wahaha:當(dāng)關(guān)門中斷信號(hào) deng 初次賦值為 1 時(shí),本信號(hào)就賦值為 1,一直到故障清除信號(hào) clr 賦值為 1 時(shí)才變?yōu)?0。參數(shù)的設(shè)置對(duì) 于整個(gè)設(shè)計(jì)可謂至關(guān)重要。在日常應(yīng)用中,由于選用的硬件以及使用環(huán)境的問題,延時(shí)問題可能會(huì)進(jìn)一步顯著。本設(shè)計(jì)是采用隨機(jī)邏輯控制方式來(lái)解決電梯請(qǐng)求信號(hào)的優(yōu)先問題。 此外,也要感謝身邊經(jīng)常給予幫助的老師和同學(xué),感謝 BBS上的同道們所給予的指導(dǎo)。最后對(duì)寄存器及各端口作出相關(guān)的調(diào)整,就可以擴(kuò)展成四層電梯控制。解決這個(gè)問題 的途徑可以有: 34 ( 1) 在不對(duì)程序進(jìn)行大改動(dòng)的前提下,延長(zhǎng)電梯在樓層的待機(jī)時(shí)間 ; ( 2)通過對(duì)程序的“電梯運(yùn)行描述”模塊進(jìn)行調(diào)整 , 主要是改動(dòng)“在無(wú)請(qǐng)求時(shí),電梯在一樓待機(jī)”附近的相關(guān)語(yǔ)句; ( 3) 通過對(duì)電梯的運(yùn)行規(guī)則進(jìn)行重新的定義,刪掉電梯在無(wú)信號(hào)情況下進(jìn)行預(yù)操作的流程,重新設(shè)計(jì)程序。通過調(diào)試仿真觀察,可以看到本系統(tǒng)的信號(hào)延時(shí)在 10ms左右。 7 結(jié)論 設(shè)計(jì)功能實(shí)現(xiàn)情況 對(duì)程序進(jìn)行調(diào)試,經(jīng)計(jì)算機(jī)仿真分析,結(jié)果表明本電梯控制程序?qū)崿F(xiàn)了以下功能: ( 1) 電梯到達(dá)樓層時(shí),能發(fā)出指示信號(hào); ( 2)能指示電梯的運(yùn)行狀態(tài); ( 3)電梯的門操作具有關(guān)門延時(shí)設(shè)置; ( 4)當(dāng)電梯出現(xiàn)故障時(shí),由看門狗信號(hào)報(bào)警,并且只有通過技術(shù)人員輸入清除信號(hào)才能使電梯恢復(fù)正常的工作狀態(tài); ( 5)電梯的超載報(bào)警程序能自動(dòng)實(shí)現(xiàn)報(bào)警功能。 仿真情況如下圖 27 所示。 設(shè)電梯到達(dá)三樓時(shí),響應(yīng)請(qǐng)求( c_d3),開門載客;進(jìn)入預(yù)備下降狀態(tài)。觀察以下信號(hào): up、 ud、 down、 led_c_u、 led_c_d、 led_d。 led_c_d:在電梯接收到 c_d3 后,賦值樓層編碼為 100,表示梯外有人請(qǐng)求下降;電梯運(yùn)行到達(dá)請(qǐng)求發(fā)出的樓層三樓后,電梯賦值變?yōu)?000,表示下降請(qǐng)求得到滿足。 到達(dá)三樓載客后,電梯內(nèi)又接收 到下降到一樓的梯內(nèi)請(qǐng)求( d1),于是電梯返回一樓待機(jī)。如圖 21 所示。此時(shí),MAX+plusII 軟件會(huì)對(duì)程序進(jìn)行糾錯(cuò)等處理。文件名和實(shí)體定義的符號(hào)必須保持一致,即文件名為 dianti。 電梯運(yùn)動(dòng)狀態(tài)顯示 led_d=dd。c_u11。 end if。 then c_u22=c_u2。139。039。door=00。 elsif q1=6 then door=00。 then 下降預(yù)操作 if deng=39。139。q2=q2+1。down=39。139。 q1=0。 then q1=0。 then led=0010010。039。039。 opendoor=39。 opendoor=39。 then 電梯前一運(yùn)動(dòng)狀態(tài)位上升 if d22=39。 電梯內(nèi)請(qǐng)求信號(hào)顯示 wahaha : out std_logic。 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3: in std_logic。 end if。039。在 VHDL語(yǔ)言里,賦值符號(hào)一般都是“ =”符號(hào),具體形式如下: begin if clk39。 end if。39。d11。 if q1=3 then door=10。q2=0。本模塊以關(guān)鍵字 architecture做結(jié)構(gòu)體的開頭,描述 dianti實(shí)體的結(jié)構(gòu)體 behav。 signal opendoor:std_logic。在 entity語(yǔ)句的實(shí)體說(shuō)明部分,用 port附語(yǔ)描述實(shí)體對(duì)外界連接的端口(數(shù)目、方向和數(shù)據(jù)類型),實(shí)體 dianti有 25個(gè)接口, 輸入模式是 clk/full/deng/quick/clr/c_u1/c_u2/c_d2/c_d3/d1/d2/d3/g1/g2/g3;其余的都是輸出模式,其中 door/led/led c_u/led c_d/led d是帶數(shù)組模式的輸出接口。 led_c_u:out std_logic_vector(2 downto 0)。 ( 2) entity 實(shí)體設(shè)計(jì)模塊 entity dianti is port ( clk : in std_logic。 其端口分布如圖 12 所示: 圖 12 控制器的端口 17 ( 2) 由相關(guān)端口得到程序要求的寄存器包括: 電梯內(nèi)人請(qǐng)求到達(dá)一樓信號(hào)寄存( d11)、電梯內(nèi)人請(qǐng)求到達(dá)二樓信號(hào)寄存( d22)、 電梯內(nèi)人請(qǐng)求到達(dá)三樓信號(hào)寄存( d33); 一樓電梯外人上升請(qǐng)求信號(hào)寄存( c_u11)、二樓電梯外人上升請(qǐng)求信號(hào)寄存( c_u22);
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1