freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術的三層電梯控制系統(tǒng)設計論文(留存版)

2025-07-20 00:46上一頁面

下一頁面
  

【正文】 _d); 電梯內(nèi)請求信號顯示( led_d);看門狗報警信號( wahaha)、超載警告信號( alarm); 電梯運動方 向顯示( ud); 電機向上控制信號( up)、電機向下控制信號( down)。 led : out std_logic_vector(3 downto 0)。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0)。 then q1=0。d22amp。 led_c_d=cc_d。wahaha=39。 then 超載、關門中斷、提前關門清除報警信號 c_u1,c_u2: in std_logic。139。139。 opendoor=39。139。 if q2=3 then wahaha=39。q1=0。139。 else q1=q1+1。 elsif d3=39。 then c_d33=c_d3。 ud=updown。 圖 18 設置頂層文件 27 ( 6) 在“ MAX+plusII”下拉按鈕里選擇 ”Compiler”,對程序進行編譯。 ( 1)電梯在不同時段接收到請求信號的情況: 電梯處于一樓,接收到三樓的梯外下降請求( c_d3),于是電梯運行到三樓,中途經(jīng)過二樓時不作停頓。 仿真情況如圖 26 所示。 當連續(xù)的關門中斷的次數(shù)超過 3 次時,不認為是出自乘客的需要,而認為是故障,并報警,等技術員處理完故障時,用 clr 信號才可以清除報警。 ( 2)信號傳輸延時的問題。 然后仿照原三樓的運行描述 , 對四樓的運行狀態(tài)進行設 計。對于高層擴展,這種方式具有其所特有的適應性。在程序仿真之初,這個問題就曾一度被忽視。),發(fā)出超載警報 alarm;超載信號消失( full=39。 電梯到達二樓 ,載客繼續(xù)上升。 圖 22 對 clk 信號賦值圖 29 ( 5)在進行仿真前,還需對仿真截止時間和最小單位時間進行一下調(diào)整。 圖 17 文本輸入保存界面 ( 5)保存以后,對程序進行編譯。39。139。 then d11=d1。down=39。 電梯進入關門狀態(tài) else q1=q1+1。139。 end if。 在進程執(zhí)行單元里,對電梯在樓層時的操作情況作出了描述,例如:開門、關門延時、超載報警、故障報警以及電梯內(nèi)的請求信號處理,具體說明給出如下: :process(clk) begin if clk39。opendoor=39。139。 電機控制信號和電梯運動 在結(jié)構(gòu)體中對電梯的運行行為作出描述,其中以電梯處于二樓的情況相對復雜,對此需作出具體說明,給出如下: elsif g2=39。這是 VHDL中的順序語句,與我們常見的 C語言中 if作為條件語句不同。 then if clr=39。 end if。 event and clk=39。 signal c_d22,c_d33:std_logic。 c_d2,c_d3: in std_logic。對程序進行模塊化構(gòu)思。如果電梯沒有接收到請求信號,電梯則返回一樓待機。 5 程序設計及調(diào)試 算法分析 電梯運行規(guī)則 ( 1) 請求信號分析: 電梯的請求信號可分為梯內(nèi)請求和梯外請求,如果從這個角度就很難去進行對電梯運行可能情況的分析,因為 電梯的運行是根據(jù) 梯外 和 梯內(nèi) 的 請求 信號、行程信號進行控制,而 梯外 和 梯內(nèi) 的 請求 是隨機 且不能以有限的規(guī)則去對其進行說明 的 。 初級設計單元――實體( entity) ,是設計的基本模塊和設計的初級單元,在分層次設計中,頂層有頂級實體,含在頂級實體中的較低層次的描述為低級實體 ,靠配置把頂層 10 實體和底層實體連接起來。在將來各大品牌廠家為了生存和發(fā)展都會在公共網(wǎng)絡系統(tǒng)中建立自己電梯網(wǎng)站(電 梯專用平臺),這也是一條必由之路 。 (馬宏騫, 2020)電梯既是一種特殊的起重運輸設備,具有完善的機械專用構(gòu)造,又是一種比較復雜的機電一體化的大型工業(yè)產(chǎn)品,具有復雜的電氣控制系統(tǒng)。其具體的流程圖如圖 1 所示。簡單來說就是依賴功能強大的計算機,在 EDA 工具軟件平臺上,對以硬件描述語言 HDL 為系統(tǒng)邏輯描述手段完成的設計文件,自動地 完成邏輯編譯 、 邏輯化簡 、 邏輯分割 、 邏輯綜合 、 結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。 本著 “ 一理通,百理明 ” 的原則,本設計希望通過在簡單的三層電梯控制設計之中如何解決升、降請求信號因電梯所處狀態(tài)而產(chǎn)生的沖突等問題發(fā)散出去,由此及彼,對高層電梯控制的開發(fā)作一個技術的鋪墊,為高層電梯設計者提供一個基礎。而興建高層建筑是其中的有效措施之一。 隨著經(jīng)濟建設的持續(xù)高速發(fā)展,我國電梯需求量越來越大。如何更安全、更快捷地到達目的樓層,也就成了人們對電梯最為根本的要求。 使用 VHDL 語言進行程序的設計,在 MAX+plusII 軟件上對程序進行編譯 、 仿真。從此, VHDL 成為硬件描述語言的業(yè)界標準之一。 (李惠升, 2020)為了實現(xiàn)電梯的控制,過去大多是采用 繼電器邏輯電路,這種邏輯控制方式具有原理簡單、直觀的特點,但是通用性較差,對不同的樓層和不同的控制方式,其原理圖、接線圖等必須重新制作,且控制系統(tǒng)由許多繼 電器觸點組成,接線復雜、故障率高。由于電梯在運行過程中各種輸入信號是隨機出現(xiàn)的,即信號的出現(xiàn)具有不確定性,同時信號需要自鎖保持、互鎖保存、優(yōu)先級排隊、數(shù)據(jù)比較 等,因此信號之間就存在復雜的邏輯關系。它采用一種可編程的存儲器,在其內(nèi)部存儲執(zhí)行邏輯運算、順序控制、定時、計數(shù)和算術運算等操作的指令,通過數(shù)字式或模擬式的輸入輸出來控制各種類型的機械設備或生產(chǎn)過程。 VHDL 語言的基本術語往往能體現(xiàn)其嚴密的邏輯結(jié)構(gòu): VHDL 語言的基本術語 包括進程( process)、類屬( generic)、屬性( attribute)以及驅(qū)動( drive)。具體來說,就是當電梯所在樓層低于發(fā)出梯外請求的樓層或者低于梯內(nèi)請求所要到達的目的樓層時,電梯必須在下一操作中作出上升運行。即在以順序邏輯控制實現(xiàn)電梯的基本控制要求的基礎上,根據(jù)隨機的輸入信號,以及電梯的相應狀態(tài)適時的控制電梯 的運行。 其端口分布如圖 12 所示: 圖 12 控制器的端口 17 ( 2) 由相關端口得到程序要求的寄存器包括: 電梯內(nèi)人請求到達一樓信號寄存( d11)、電梯內(nèi)人請求到達二樓信號寄存( d22)、 電梯內(nèi)人請求到達三樓信號寄存( d33); 一樓電梯外人上升請求信號寄存( c_u11)、二樓電梯外人上升請求信號寄存( c_u22); 二樓電梯外人下降請求信號寄存( c_d22)、三樓電梯外人下降請求信號寄存( c_d33); 分頻信號( q); 關門延時計 數(shù)器( q1)、看門狗計數(shù)器( q2); 電梯內(nèi)請求信號寄存器( dd); 電梯外上升請求信號寄存器( cc_u)、電梯外下降請求信號寄存器( cc_d); 電梯請求信號寄存器( dd_cc); 開門使能信號( opendoor); 電梯運動方向信號寄存器( updown); 預備上升操作使能( en_up)、預備下降預操作使能( en_dw)。 led_c_u:out std_logic_vector(2 downto 0)。 signal opendoor:std_logic。q2=0。d11。 end if。039。 電梯外人的上升請求信號 c_d2,c_d3: in std_logic。 then 電梯前一運動狀態(tài)位上升 if d22=39。 opendoor=39。039。 then q1=0。139。q2=q2+1。 then 下降預操作 if deng=39。door=00。139。 end if。 電梯運動狀態(tài)顯示 led_d=dd。此時,MAX+plusII 軟件會對程序進行糾錯等處理。 到達三樓載客后,電梯內(nèi)又接收 到下降到一樓的梯內(nèi)請求( d1),于是電梯返回一樓待機。觀察以下信號: up、 ud、 down、 led_c_u、 led_c_d、 led_d。 仿真情況如下圖 27 所示。通過調(diào)試仿真觀察,可以看到本系統(tǒng)的信號延時在 10ms左右。最后對寄存器及各端口作出相關的調(diào)整,就可以擴展成四層電梯控制。本設計是采用隨機邏輯控制方式來解決電梯請求信號的優(yōu)先問題。參數(shù)的設置對 于整個設計可謂至關重要。139。 ( 2)當電梯在同一時間段 里接收到幾種不同的請求信號時: 電梯停在一樓,在同一時間段里接受到幾個請求信號,包括梯內(nèi)上升到三樓的請求( d3)、二樓的梯外上升請求( c_u2)和三樓的梯外下降請求( c_d3)。如對時鐘信號 clk 賦周期為 25ms 的周期信號,如圖 22 所示。由于文件后綴名都默認為 TDF,在初次調(diào)試時并沒有注意這個問題,結(jié)果在編譯的時候老是出錯。c_d22amp。 if c_d2=39。139。039。q1=q1+1。 開門操作 elsif en_up=39。 else door=00。 所以在一樓時 led 賦值為“ 1001111”,三樓時 led 賦值為“ 0000110”。039。 有當前層的請求,則電梯進入開門狀態(tài) elsif dd_cc011 then en_up=39。 電梯運動方向顯示,超載警告信號 up,down : out std_logic )?!钡取?39。 dd_cc=dd or cc_u or cc_d。 end if。 :process(clk) begin if clk39。 signal c_u11,c_u22:std_logic。 c_u1,c_u2: in std_logic。 程序流程分析 電梯的運行規(guī)則確立后,需對整個控制程序的設計作一個流程規(guī)范。此時,電梯就進入預下降狀態(tài),準備作下降運行。 就上述比較而言,本設 計采用 EDA 方案更恰當。 VHDL 語言的設計單元包括實體( entity) 、結(jié)構(gòu)體 (architecture)、程序包( package)以及配置( configration)。電梯上網(wǎng)能確保為客戶提供更優(yōu)質(zhì)全程的服務。因此,目前在國產(chǎn)電梯及中低檔的客梯廣泛采用了 PLC 控制系統(tǒng),特別適合在用電梯的技術改造。最后則是測試芯片在系統(tǒng)中的實際運行性能。它主要采用并行工程和 “ 自頂向下 ” 的設計方 3 法 ,使開發(fā)者從一開始就要考慮到產(chǎn)品生成周期的諸多方面,包括質(zhì)量、成本、開發(fā)時間及用戶的需求等等,然后從系統(tǒng)設計入手,在頂層進行功能方框圖的劃分和結(jié)構(gòu)設計,在方框圖一級進行仿真、糾錯、并用 VHDL、 VerilogHDL、 ABEL 等硬件描述語言對高層次的系統(tǒng)行為進行描述,在系統(tǒng)一級進行驗證,然后再用邏輯綜合優(yōu)化工具生成具體的門級邏輯電路的網(wǎng)表,其對應的物理實現(xiàn)級可以是印刷電路板或?qū)S眉呻娐贰R虼耍谀撤N層面上說,三層電梯的設計也具有一定的社會價值。這就注定了必須合理地利用土地去解決人與土地的矛盾。 由此, 一個 更為龐大的 電梯市場已經(jīng) 在國內(nèi)轟然 形成。而電梯系統(tǒng)里掌控這方面技術參數(shù)的是電梯控制系統(tǒng)。 在 MAX+plusII 平臺上的開發(fā)具有 編程軟件 具有 采自易 學易懂的梯形圖語言、控制靈活方便、抗干擾能力強、運行穩(wěn)定可靠等 優(yōu)點。 VHDL 作為一個規(guī)范語言和建模語言,具有很強的電路描述和建模能力,能從多個層次對數(shù)字系統(tǒng)進行建模和描述,從而大大簡化了硬件設計任務,提高了設計效率和可靠性。因此,它逐漸被可靠性高、通用性強的可編程序控制器及微機控制系統(tǒng)所代替。所以在電梯的運行控制中, PLC 的編程工作主要 是針對各種信號進行邏輯判斷和處理。 可編程控制器是計算機技術與自動化控制技術相結(jié)合而開發(fā)的一種適用工業(yè)環(huán)境的新型通用自動控制裝置,是作為傳統(tǒng)繼電器的替換產(chǎn)品而出現(xiàn)的。 進程( process)是 VHDL 中的基本執(zhí)行單元,仿真時把所有的操作劃分為單個或多個進程。這時的請求信號就是上升請求信號。例如,當電梯在三樓時,二樓、一樓梯外均有上升請求信號: 電梯以 向下的方向 接近 二樓的減速位置,判別 二 樓 具 有同向的 請求 信號,在 二 樓減速停 止載客,然后繼續(xù)下降到 14 一樓載客。 模塊設計說明 本程序由三個基本模塊組成,包括調(diào)用 VHDL 庫模塊、實體設計模塊和結(jié)構(gòu)體設計模塊。
點擊復制文檔內(nèi)容
研究報告相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1