freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計(jì)論文(留存版)

  

【正文】 _d); 電梯內(nèi)請(qǐng)求信號(hào)顯示( led_d);看門狗報(bào)警信號(hào)( wahaha)、超載警告信號(hào)( alarm); 電梯運(yùn)動(dòng)方 向顯示( ud); 電機(jī)向上控制信號(hào)( up)、電機(jī)向下控制信號(hào)( down)。 led : out std_logic_vector(3 downto 0)。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(2 downto 0)。 then q1=0。d22amp。 led_c_d=cc_d。wahaha=39。 then 超載、關(guān)門中斷、提前關(guān)門清除報(bào)警信號(hào) c_u1,c_u2: in std_logic。139。139。 opendoor=39。139。 if q2=3 then wahaha=39。q1=0。139。 else q1=q1+1。 elsif d3=39。 then c_d33=c_d3。 ud=updown。 圖 18 設(shè)置頂層文件 27 ( 6) 在“ MAX+plusII”下拉按鈕里選擇 ”Compiler”,對(duì)程序進(jìn)行編譯。 ( 1)電梯在不同時(shí)段接收到請(qǐng)求信號(hào)的情況: 電梯處于一樓,接收到三樓的梯外下降請(qǐng)求( c_d3),于是電梯運(yùn)行到三樓,中途經(jīng)過(guò)二樓時(shí)不作停頓。 仿真情況如圖 26 所示。 當(dāng)連續(xù)的關(guān)門中斷的次數(shù)超過(guò) 3 次時(shí),不認(rèn)為是出自乘客的需要,而認(rèn)為是故障,并報(bào)警,等技術(shù)員處理完故障時(shí),用 clr 信號(hào)才可以清除報(bào)警。 ( 2)信號(hào)傳輸延時(shí)的問(wèn)題。 然后仿照原三樓的運(yùn)行描述 , 對(duì)四樓的運(yùn)行狀態(tài)進(jìn)行設(shè) 計(jì)。對(duì)于高層擴(kuò)展,這種方式具有其所特有的適應(yīng)性。在程序仿真之初,這個(gè)問(wèn)題就曾一度被忽視。),發(fā)出超載警報(bào) alarm;超載信號(hào)消失( full=39。 電梯到達(dá)二樓 ,載客繼續(xù)上升。 圖 22 對(duì) clk 信號(hào)賦值圖 29 ( 5)在進(jìn)行仿真前,還需對(duì)仿真截止時(shí)間和最小單位時(shí)間進(jìn)行一下調(diào)整。 圖 17 文本輸入保存界面 ( 5)保存以后,對(duì)程序進(jìn)行編譯。39。139。 then d11=d1。down=39。 電梯進(jìn)入關(guān)門狀態(tài) else q1=q1+1。139。 end if。 在進(jìn)程執(zhí)行單元里,對(duì)電梯在樓層時(shí)的操作情況作出了描述,例如:開(kāi)門、關(guān)門延時(shí)、超載報(bào)警、故障報(bào)警以及電梯內(nèi)的請(qǐng)求信號(hào)處理,具體說(shuō)明給出如下: :process(clk) begin if clk39。opendoor=39。139。 電機(jī)控制信號(hào)和電梯運(yùn)動(dòng) 在結(jié)構(gòu)體中對(duì)電梯的運(yùn)行行為作出描述,其中以電梯處于二樓的情況相對(duì)復(fù)雜,對(duì)此需作出具體說(shuō)明,給出如下: elsif g2=39。這是 VHDL中的順序語(yǔ)句,與我們常見(jiàn)的 C語(yǔ)言中 if作為條件語(yǔ)句不同。 then if clr=39。 end if。 event and clk=39。 signal c_d22,c_d33:std_logic。 c_d2,c_d3: in std_logic。對(duì)程序進(jìn)行模塊化構(gòu)思。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則返回一樓待機(jī)。 5 程序設(shè)計(jì)及調(diào)試 算法分析 電梯運(yùn)行規(guī)則 ( 1) 請(qǐng)求信號(hào)分析: 電梯的請(qǐng)求信號(hào)可分為梯內(nèi)請(qǐng)求和梯外請(qǐng)求,如果從這個(gè)角度就很難去進(jìn)行對(duì)電梯運(yùn)行可能情況的分析,因?yàn)?電梯的運(yùn)行是根據(jù) 梯外 和 梯內(nèi) 的 請(qǐng)求 信號(hào)、行程信號(hào)進(jìn)行控制,而 梯外 和 梯內(nèi) 的 請(qǐng)求 是隨機(jī) 且不能以有限的規(guī)則去對(duì)其進(jìn)行說(shuō)明 的 。 初級(jí)設(shè)計(jì)單元――實(shí)體( entity) ,是設(shè)計(jì)的基本模塊和設(shè)計(jì)的初級(jí)單元,在分層次設(shè)計(jì)中,頂層有頂級(jí)實(shí)體,含在頂級(jí)實(shí)體中的較低層次的描述為低級(jí)實(shí)體 ,靠配置把頂層 10 實(shí)體和底層實(shí)體連接起來(lái)。在將來(lái)各大品牌廠家為了生存和發(fā)展都會(huì)在公共網(wǎng)絡(luò)系統(tǒng)中建立自己電梯網(wǎng)站(電 梯專用平臺(tái)),這也是一條必由之路 。 (馬宏騫, 2020)電梯既是一種特殊的起重運(yùn)輸設(shè)備,具有完善的機(jī)械專用構(gòu)造,又是一種比較復(fù)雜的機(jī)電一體化的大型工業(yè)產(chǎn)品,具有復(fù)雜的電氣控制系統(tǒng)。其具體的流程圖如圖 1 所示。簡(jiǎn)單來(lái)說(shuō)就是依賴功能強(qiáng)大的計(jì)算機(jī),在 EDA 工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言 HDL 為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地 完成邏輯編譯 、 邏輯化簡(jiǎn) 、 邏輯分割 、 邏輯綜合 、 結(jié)構(gòu)綜合(布局布線),以及邏輯優(yōu)化和仿真測(cè)試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。 本著 “ 一理通,百理明 ” 的原則,本設(shè)計(jì)希望通過(guò)在簡(jiǎn)單的三層電梯控制設(shè)計(jì)之中如何解決升、降請(qǐng)求信號(hào)因電梯所處狀態(tài)而產(chǎn)生的沖突等問(wèn)題發(fā)散出去,由此及彼,對(duì)高層電梯控制的開(kāi)發(fā)作一個(gè)技術(shù)的鋪墊,為高層電梯設(shè)計(jì)者提供一個(gè)基礎(chǔ)。而興建高層建筑是其中的有效措施之一。 隨著經(jīng)濟(jì)建設(shè)的持續(xù)高速發(fā)展,我國(guó)電梯需求量越來(lái)越大。如何更安全、更快捷地到達(dá)目的樓層,也就成了人們對(duì)電梯最為根本的要求。 使用 VHDL 語(yǔ)言進(jìn)行程序的設(shè)計(jì),在 MAX+plusII 軟件上對(duì)程序進(jìn)行編譯 、 仿真。從此, VHDL 成為硬件描述語(yǔ)言的業(yè)界標(biāo)準(zhǔn)之一。 (李惠升, 2020)為了實(shí)現(xiàn)電梯的控制,過(guò)去大多是采用 繼電器邏輯電路,這種邏輯控制方式具有原理簡(jiǎn)單、直觀的特點(diǎn),但是通用性較差,對(duì)不同的樓層和不同的控制方式,其原理圖、接線圖等必須重新制作,且控制系統(tǒng)由許多繼 電器觸點(diǎn)組成,接線復(fù)雜、故障率高。由于電梯在運(yùn)行過(guò)程中各種輸入信號(hào)是隨機(jī)出現(xiàn)的,即信號(hào)的出現(xiàn)具有不確定性,同時(shí)信號(hào)需要自鎖保持、互鎖保存、優(yōu)先級(jí)排隊(duì)、數(shù)據(jù)比較 等,因此信號(hào)之間就存在復(fù)雜的邏輯關(guān)系。它采用一種可編程的存儲(chǔ)器,在其內(nèi)部存儲(chǔ)執(zhí)行邏輯運(yùn)算、順序控制、定時(shí)、計(jì)數(shù)和算術(shù)運(yùn)算等操作的指令,通過(guò)數(shù)字式或模擬式的輸入輸出來(lái)控制各種類型的機(jī)械設(shè)備或生產(chǎn)過(guò)程。 VHDL 語(yǔ)言的基本術(shù)語(yǔ)往往能體現(xiàn)其嚴(yán)密的邏輯結(jié)構(gòu): VHDL 語(yǔ)言的基本術(shù)語(yǔ) 包括進(jìn)程( process)、類屬( generic)、屬性( attribute)以及驅(qū)動(dòng)( drive)。具體來(lái)說(shuō),就是當(dāng)電梯所在樓層低于發(fā)出梯外請(qǐng)求的樓層或者低于梯內(nèi)請(qǐng)求所要到達(dá)的目的樓層時(shí),電梯必須在下一操作中作出上升運(yùn)行。即在以順序邏輯控制實(shí)現(xiàn)電梯的基本控制要求的基礎(chǔ)上,根據(jù)隨機(jī)的輸入信號(hào),以及電梯的相應(yīng)狀態(tài)適時(shí)的控制電梯 的運(yùn)行。 其端口分布如圖 12 所示: 圖 12 控制器的端口 17 ( 2) 由相關(guān)端口得到程序要求的寄存器包括: 電梯內(nèi)人請(qǐng)求到達(dá)一樓信號(hào)寄存( d11)、電梯內(nèi)人請(qǐng)求到達(dá)二樓信號(hào)寄存( d22)、 電梯內(nèi)人請(qǐng)求到達(dá)三樓信號(hào)寄存( d33); 一樓電梯外人上升請(qǐng)求信號(hào)寄存( c_u11)、二樓電梯外人上升請(qǐng)求信號(hào)寄存( c_u22); 二樓電梯外人下降請(qǐng)求信號(hào)寄存( c_d22)、三樓電梯外人下降請(qǐng)求信號(hào)寄存( c_d33); 分頻信號(hào)( q); 關(guān)門延時(shí)計(jì) 數(shù)器( q1)、看門狗計(jì)數(shù)器( q2); 電梯內(nèi)請(qǐng)求信號(hào)寄存器( dd); 電梯外上升請(qǐng)求信號(hào)寄存器( cc_u)、電梯外下降請(qǐng)求信號(hào)寄存器( cc_d); 電梯請(qǐng)求信號(hào)寄存器( dd_cc); 開(kāi)門使能信號(hào)( opendoor); 電梯運(yùn)動(dòng)方向信號(hào)寄存器( updown); 預(yù)備上升操作使能( en_up)、預(yù)備下降預(yù)操作使能( en_dw)。 led_c_u:out std_logic_vector(2 downto 0)。 signal opendoor:std_logic。q2=0。d11。 end if。039。 電梯外人的上升請(qǐng)求信號(hào) c_d2,c_d3: in std_logic。 then 電梯前一運(yùn)動(dòng)狀態(tài)位上升 if d22=39。 opendoor=39。039。 then q1=0。139。q2=q2+1。 then 下降預(yù)操作 if deng=39。door=00。139。 end if。 電梯運(yùn)動(dòng)狀態(tài)顯示 led_d=dd。此時(shí),MAX+plusII 軟件會(huì)對(duì)程序進(jìn)行糾錯(cuò)等處理。 到達(dá)三樓載客后,電梯內(nèi)又接收 到下降到一樓的梯內(nèi)請(qǐng)求( d1),于是電梯返回一樓待機(jī)。觀察以下信號(hào): up、 ud、 down、 led_c_u、 led_c_d、 led_d。 仿真情況如下圖 27 所示。通過(guò)調(diào)試仿真觀察,可以看到本系統(tǒng)的信號(hào)延時(shí)在 10ms左右。最后對(duì)寄存器及各端口作出相關(guān)的調(diào)整,就可以擴(kuò)展成四層電梯控制。本設(shè)計(jì)是采用隨機(jī)邏輯控制方式來(lái)解決電梯請(qǐng)求信號(hào)的優(yōu)先問(wèn)題。參數(shù)的設(shè)置對(duì) 于整個(gè)設(shè)計(jì)可謂至關(guān)重要。139。 ( 2)當(dāng)電梯在同一時(shí)間段 里接收到幾種不同的請(qǐng)求信號(hào)時(shí): 電梯停在一樓,在同一時(shí)間段里接受到幾個(gè)請(qǐng)求信號(hào),包括梯內(nèi)上升到三樓的請(qǐng)求( d3)、二樓的梯外上升請(qǐng)求( c_u2)和三樓的梯外下降請(qǐng)求( c_d3)。如對(duì)時(shí)鐘信號(hào) clk 賦周期為 25ms 的周期信號(hào),如圖 22 所示。由于文件后綴名都默認(rèn)為 TDF,在初次調(diào)試時(shí)并沒(méi)有注意這個(gè)問(wèn)題,結(jié)果在編譯的時(shí)候老是出錯(cuò)。c_d22amp。 if c_d2=39。139。039。q1=q1+1。 開(kāi)門操作 elsif en_up=39。 else door=00。 所以在一樓時(shí) led 賦值為“ 1001111”,三樓時(shí) led 賦值為“ 0000110”。039。 有當(dāng)前層的請(qǐng)求,則電梯進(jìn)入開(kāi)門狀態(tài) elsif dd_cc011 then en_up=39。 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào) up,down : out std_logic )?!钡?。139。 dd_cc=dd or cc_u or cc_d。 end if。 :process(clk) begin if clk39。 signal c_u11,c_u22:std_logic。 c_u1,c_u2: in std_logic。 程序流程分析 電梯的運(yùn)行規(guī)則確立后,需對(duì)整個(gè)控制程序的設(shè)計(jì)作一個(gè)流程規(guī)范。此時(shí),電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運(yùn)行。 就上述比較而言,本設(shè) 計(jì)采用 EDA 方案更恰當(dāng)。 VHDL 語(yǔ)言的設(shè)計(jì)單元包括實(shí)體( entity) 、結(jié)構(gòu)體 (architecture)、程序包( package)以及配置( configration)。電梯上網(wǎng)能確保為客戶提供更優(yōu)質(zhì)全程的服務(wù)。因此,目前在國(guó)產(chǎn)電梯及中低檔的客梯廣泛采用了 PLC 控制系統(tǒng),特別適合在用電梯的技術(shù)改造。最后則是測(cè)試芯片在系統(tǒng)中的實(shí)際運(yùn)行性能。它主要采用并行工程和 “ 自頂向下 ” 的設(shè)計(jì)方 3 法 ,使開(kāi)發(fā)者從一開(kāi)始就要考慮到產(chǎn)品生成周期的諸多方面,包括質(zhì)量、成本、開(kāi)發(fā)時(shí)間及用戶的需求等等,然后從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級(jí)進(jìn)行仿真、糾錯(cuò)、并用 VHDL、 VerilogHDL、 ABEL 等硬件描述語(yǔ)言對(duì)高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級(jí)進(jìn)行驗(yàn)證,然后再用邏輯綜合優(yōu)化工具生成具體的門級(jí)邏輯電路的網(wǎng)表,其對(duì)應(yīng)的物理實(shí)現(xiàn)級(jí)可以是印刷電路板或?qū)S眉呻娐?。因此,在某種層面上說(shuō),三層電梯的設(shè)計(jì)也具有一定的社會(huì)價(jià)值。這就注定了必須合理地利用土地去解決人與土地的矛盾。 由此, 一個(gè) 更為龐大的 電梯市場(chǎng)已經(jīng) 在國(guó)內(nèi)轟然 形成。而電梯系統(tǒng)里掌控這方面技術(shù)參數(shù)的是電梯控制系統(tǒng)。 在 MAX+plusII 平臺(tái)上的開(kāi)發(fā)具有 編程軟件 具有 采自易 學(xué)易懂的梯形圖語(yǔ)言、控制靈活方便、抗干擾能力強(qiáng)、運(yùn)行穩(wěn)定可靠等 優(yōu)點(diǎn)。 VHDL 作為一個(gè)規(guī)范語(yǔ)言和建模語(yǔ)言,具有很強(qiáng)的電路描述和建模能力,能從多個(gè)層次對(duì)數(shù)字系統(tǒng)進(jìn)行建模和描述,從而大大簡(jiǎn)化了硬件設(shè)計(jì)任務(wù),提高了設(shè)計(jì)效率和可靠性。因此,它逐漸被可靠性高、通用性強(qiáng)的可編程序控制器及微機(jī)控制系統(tǒng)所代替。所以在電梯的運(yùn)行控制中, PLC 的編程工作主要 是針對(duì)各種信號(hào)進(jìn)行邏輯判斷和處理。 可編程控制器是計(jì)算機(jī)技術(shù)與自動(dòng)化控制技術(shù)相結(jié)合而開(kāi)發(fā)的一種適用工業(yè)環(huán)境的新型通用自動(dòng)控制裝置,是作為傳統(tǒng)繼電器的替換產(chǎn)品而出現(xiàn)的。 進(jìn)程( process)是 VHDL 中的基本執(zhí)行單元,仿真時(shí)把所有的操作劃分為單個(gè)或多個(gè)進(jìn)程。這時(shí)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。例如,當(dāng)電梯在三樓時(shí),二樓、一樓梯外均有上升請(qǐng)求信號(hào): 電梯以 向下的方向 接近 二樓的減速位置,判別 二 樓 具 有同向的 請(qǐng)求 信號(hào),在 二 樓減速停 止載客,然后繼續(xù)下降到 14 一樓載客。 模塊設(shè)計(jì)說(shuō)明 本程序由三個(gè)基本模塊組成,包括調(diào)用 VHDL 庫(kù)模塊、實(shí)體設(shè)計(jì)模塊和結(jié)構(gòu)體設(shè)計(jì)模塊。
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1