freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)的三層電梯控制系統(tǒng)設(shè)計(jì)論文(專業(yè)版)

2025-07-15 00:46上一頁面

下一頁面
  

【正文】 如擴(kuò)展成四層電梯 控制設(shè)計(jì)。 例如,在程序仿真之初, clk 信號的周期設(shè)置為 100ms,由仿真的效果看到只能實(shí)現(xiàn)最基本的樓層顯示,其他功能都失效。),電梯重新進(jìn)入預(yù)備下降狀態(tài)。 由于二樓并沒有請求,所以電梯不作停頓地下降到一樓。如圖 23 和圖 24 所示。選擇菜單“ File”→“ Set Project to Current File”,當(dāng)前的文件即被指定。 電梯外人下降請求信號并置 dd_cc=dd or cc_u or cc_d。 對電梯外人下降請求信號進(jìn)行檢測和寄存 elsif c_d3=39。139。 elsif q1=3 then door=01。 電梯進(jìn)入等待狀態(tài) end if。139。alarm=39。139。 有當(dāng)前層的請求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc010 then en_dw=39。039。 then led=0010010。 在實(shí)體模塊中,對電梯的主要信號都一一作出了定義,具體說明給出如下: port ( clk : in std_logic。 then q1=0。 led_d=dd。 then if clr=39。 signal q1:integer range 0 to 6。 g1,g2,g3: in std_logic。實(shí)體對控制器的端口進(jìn)行定義,結(jié)構(gòu)體對各端口的行為進(jìn)行描述。 處 于 一 樓預(yù) 上 升待 機(jī)上升信號無信號 圖 6 電梯處于一樓時(shí)的運(yùn)行可能分析 處 于 二 樓預(yù) 上 升預(yù) 下 降返 回 一 樓待 機(jī)上升信號無 信 號下降信號 圖 7 電梯處于二樓時(shí)的運(yùn)行可能分析 處 于 三 樓預(yù) 下 降返 回 一 樓 待機(jī)下降信號無信號 圖 8 電梯處于三樓時(shí)的運(yùn)行可能分析 對上述電梯的運(yùn)行情況進(jìn)行匯總,可以得到如圖 9 的電梯運(yùn)行狀態(tài)圖。出于這方面的考慮,本設(shè)計(jì)把電梯的請求信號劃分為上升請求和下降請求。 次級設(shè)計(jì)單元――結(jié)構(gòu)體 (architecture),實(shí)體的結(jié)構(gòu)體具有描述實(shí)體的行為功能,一個(gè)實(shí)體可以有多個(gè)結(jié)構(gòu)體,一種可能為行為描述,另一種可能為結(jié)構(gòu)描述。 ( 2) 當(dāng)電梯出現(xiàn)故障時(shí),電梯通過網(wǎng)絡(luò)向客戶服務(wù)中心發(fā)出信號使維保人員能及時(shí)準(zhǔn)確了解電梯出現(xiàn)故障的原因及相關(guān)信息,客戶的人身安全是否受到威脅,并在第一時(shí)間內(nèi)趕赴事故現(xiàn)場進(jìn)行搶修,同時(shí)通過網(wǎng)絡(luò)對在電梯內(nèi)乘客安慰,把電梯出現(xiàn)故障的負(fù)面影響降到最低。由于這種控制屬于隨機(jī)控制,各種輸入信號之間、輸出信號之間以及輸入信號和輸出信號之間互相關(guān)聯(lián),邏輯關(guān)系處理起來非常復(fù)雜,這就給 PLC 編程帶來很大難度。 Altera 的工作與 EDA 廠家之間緊密合作,使 MAX+plusII 軟件與其他工業(yè)標(biāo)準(zhǔn)的設(shè)計(jì)輸入、綜合與校驗(yàn)工具具有良好的兼容性。前者代表了物理層在廣度和深度上硬件電路實(shí)現(xiàn)的發(fā)展,后者則反映了現(xiàn)代先進(jìn)的電子理論 、 電子技術(shù) 、 仿真技術(shù) 、設(shè)計(jì)工藝和設(shè)計(jì)技術(shù)與最新的計(jì)算機(jī)軟件技術(shù)有機(jī)的融合和升華。 2 設(shè)計(jì)的基礎(chǔ)依據(jù) 現(xiàn)代電子設(shè)計(jì)技術(shù)的核心是 EDA 技術(shù)。 在一些發(fā)達(dá)國家和地區(qū),人均電 梯擁有數(shù)量一般在每萬人 30 臺以上,某些國家甚至達(dá)到每萬人 120 臺以上,隨著城鎮(zhèn)化程度的加大,電梯市場會(huì)更加繁華。 摘 要 電梯作為現(xiàn)代化的產(chǎn)物,早在上個(gè)世紀(jì)就進(jìn)入了我們的生活之中。中國的電梯市場增長也很樂觀,目前,每年增長率為 15%— 18%。基于 EDA 技術(shù)開發(fā)的實(shí)現(xiàn)三層電梯自動(dòng)控制與目前主流的利用可編程邏輯控制器實(shí)現(xiàn)電梯控制緊密相連。因此,嚴(yán)格地說, EDA技術(shù)應(yīng)該是這二者的結(jié)合,是這兩個(gè)技術(shù)領(lǐng)域共同孕育的奇葩。目前, MAX+plusII 支持多種第三方 EDA工具接口,包括: ( 1) Cadence;( 2) Exemplarlogic;( 3) Mentor Graphics;( 4) Synopsys; ( 5) Synplicity;( 6) Viewlogic。從這種意義上來說,PLC 編程水平的高低就決定電梯運(yùn)行狀態(tài)的好壞,因此 PLC 應(yīng)用在電梯控制電梯控制中的編程技術(shù)就成為控制電梯運(yùn)行的關(guān)鍵技術(shù)。 ( 3) 也可以通過電梯網(wǎng)絡(luò)在規(guī)定時(shí)間內(nèi)自動(dòng)掃描每臺電梯各部件以發(fā)現(xiàn)事故隱患做到事先維修,減少停梯時(shí)間,提高企業(yè)的服務(wù)質(zhì)量。結(jié)構(gòu)體能以行為、數(shù)據(jù)流和結(jié)構(gòu)等多種方式描述實(shí)體。 電梯接收到請求信號后,都必須作預(yù)操作。 13 一 樓 待 機(jī)預(yù) 上 升二 樓預(yù) 下 降 預(yù) 上 升三 樓預(yù) 下 降無信號、下降信號上 升 信 號上升信號無 信 號 、 下 降 信 號無 信 號 圖 9 電梯運(yùn)行狀態(tài)圖 在電梯運(yùn)行過程中,存在一個(gè)如何處理信號優(yōu)先的問題。因此程序運(yùn)行需經(jīng)過以下流程: VHDL 庫調(diào)用;確立 控制器的端口及相關(guān)的寄存器;根據(jù)電梯運(yùn)行規(guī)則,設(shè)計(jì)相關(guān)運(yùn)行描述;對電梯內(nèi)外信號進(jìn)行處理。 18 door : out std_logic_vector(1 downto 0)。 signal q2:integer range 0 to 9。139。 dd=d33amp。 led_c_u=cc_u。q2=0。 時(shí)鐘信號 full,deng,quick,clr : in std_logic。 電梯到達(dá) 2 樓,數(shù)碼管顯示 2 if updown=39。 有上升請求,則電梯進(jìn)入預(yù)備上升狀態(tài) elsif dd_cc010 then en_dw=39。139。 then if clr=39。039。 then door=10。 elsif en_dw=39。q1=q1+1。 then d22=d2。139。 電梯內(nèi)、外人請求信號進(jìn)行綜合 end if。詳見圖 18 所示。 圖 23 截止時(shí)間設(shè)置 圖 24 單位時(shí)間設(shè)置 電梯運(yùn)行情況仿真 三層電梯的運(yùn)行情況多樣,以觀察以下兩種情況為主。 電梯執(zhí)行完所有請求時(shí)電梯將停在一樓待機(jī)。 電梯接受到 deng、 c_d3 和 d3 電梯重新進(jìn)入預(yù)備下降狀態(tài),并且 c_d3 和 d3 信號都可以對 q2( q23 時(shí))進(jìn)行清零處理。后來通過對 clk 信號的周期進(jìn)行調(diào)試,當(dāng)其減少到25ms 時(shí),電梯的上述功能都能基本實(shí)現(xiàn)。 需 先 對程序加以三樓的上升運(yùn)行描 述以及相關(guān)端口的設(shè)置 。只需對程序作一定調(diào)整即可。造成在調(diào)試的過程中對信號隨意設(shè)置,導(dǎo)致仿真失敗,還以為是程序出錯(cuò),導(dǎo)致嚴(yán)重的人力、物力浪費(fèi)以及設(shè)計(jì)者的精神損失。039。電梯上升到三樓時(shí),響應(yīng)請求 c_d3,開門載客;進(jìn)入預(yù)備下降狀態(tài)。在“ File”欄對截止時(shí) 間“ End Time”設(shè)置為“ 10s”,在“ Options”欄的“ Grid Size”設(shè)置為“ 20ns”。在編譯前,需要把文件設(shè)置為頂層文件 ,或稱工程文件 Project。039。 then c_d22=c_d2。 對電梯內(nèi)人請求信號進(jìn)行檢測和寄存 elsif d2=39。139。door=00。 then 上升預(yù)操作 if deng=39。 elsif q=1 then q=0。event and clk=39。139。 opendoor=39。139。本程序只使用到上述的語言結(jié)構(gòu),相對簡易。 VHDL語言也具有與一般編程語言相同的一些語言邏輯結(jié)構(gòu),如上述中的“ if139。 ud=updown。139。 signal q:integer range 0 to 1。 d1,d2,d3: in std_logic。根據(jù) VHDL 語言的規(guī)則,程序必須由最基本的實(shí)體和結(jié)構(gòu)體構(gòu)成。其分析圖如圖 8 所示。因此,很難對電梯的運(yùn)行情況作出一個(gè)統(tǒng)一的分析。實(shí)體說明中還可以說明數(shù)據(jù)類型、子程序和常量等數(shù)據(jù)信息,實(shí)體語句常用于描述設(shè)計(jì)常用到的判斷和檢查信息。 電梯上網(wǎng)主要 能 實(shí)現(xiàn)以下功能: ( 1) 用網(wǎng)絡(luò)把所有電梯監(jiān)管起來,保證電梯安全運(yùn)行,確保乘客安全。就電梯的控制方法而言,目前國產(chǎn)電梯廣泛采用可編程控制器技術(shù)的智能化控 制。 圖 1 MAX+plusII 設(shè)計(jì)流程 該方法將問題的解決放在硬件組裝之前,既簡化了 設(shè)計(jì)過程,又可以減少設(shè)備投資,縮短設(shè)計(jì)周期,增強(qiáng)設(shè)計(jì)者對設(shè)計(jì)過程的分析和制造的可控性。 從另一方面看,在現(xiàn)代高新電子產(chǎn)品的設(shè)計(jì)和生產(chǎn)中,微電子技術(shù)和現(xiàn)代電子設(shè)計(jì)技術(shù)是相互促進(jìn) 、 相互推動(dòng)又相互制約的兩個(gè)技術(shù)環(huán)節(jié)。 針對目前中小型電梯所能實(shí)現(xiàn)的功能,本控制設(shè)計(jì)擬實(shí)現(xiàn)以下這些 功能: ( 1)指示電梯運(yùn)行所在樓層; 2 ( 2)指示電梯運(yùn)行方向; ( 3)關(guān)門延時(shí)設(shè)置; ( 4)看門狗報(bào)警; ( 5)超載報(bào)警; ( 6)故障報(bào)警。因此,能使人們快速、便捷地到達(dá)目的樓層的電梯便應(yīng)運(yùn)而生了。 大規(guī)模的經(jīng)濟(jì)建設(shè)尤其是蓬勃發(fā)展的房地產(chǎn)業(yè)給 國內(nèi) 電梯行業(yè)開拓了 更為 廣闊的市場 。 隨著電梯普及率的升溫,人們對電梯的要求也會(huì)越來越高。硬件描述語言是 EDA 技術(shù)的重要組成部分, VHDL 是作為電子設(shè)計(jì)主流硬件的描述語言。 VHDL語言介紹 VHDL 語言于 1983 年由美國國防部發(fā)起創(chuàng)建,由 電工和電子工程師協(xié)會(huì)( the Institute of Electrical and Electronics Engineers)進(jìn)一步發(fā)展并在 1987 年作為“ IEEE 1076”發(fā)布。 MAX+plusII 軟件與其設(shè)計(jì)流程的每個(gè)階段都匹配有強(qiáng) 大的設(shè)計(jì)軟件,其軟件組成如圖 2 所示: 設(shè)計(jì)要求 設(shè)計(jì)輸入 設(shè)計(jì)編譯 功能檢驗(yàn) 時(shí)序檢驗(yàn) 器件編程 修改設(shè)計(jì) 5 M A X + p l u s I I信 息 處 理 器和層 次 顯 示設(shè) 計(jì) 輸 入M A X + p l u s I I文 本 編 輯 器M A X + p l u s I I圖 形 編 輯 器項(xiàng) 目 校 驗(yàn)M A X + p l u s I I時(shí) 間 分 析 器項(xiàng) 目 編 譯編 譯 器 網(wǎng) 絡(luò) 提 取 器數(shù) 據(jù) 庫 建 庫 器邏 輯 綜 合 器適 配器 件 編 程M A X + p l u s I I編 程 器 圖 2 MAX+plusII 的軟件組成 3 文獻(xiàn)綜述 PLC 在電梯控制中的應(yīng)用介紹 由當(dāng)初的 繼電器邏輯控制電路到今天廣為應(yīng)用的可編程邏輯控制器及微機(jī)控制系統(tǒng), 電梯控制的發(fā)展經(jīng)歷了相當(dāng)一段歷程。 PLC 充分利用了微型計(jì)算機(jī)的原理和技術(shù),具有很強(qiáng)的邏輯處理能力,在電梯運(yùn)行控制中發(fā)揮了重要作用。 4 總體方案的確定 基于 PLC 技術(shù)的電梯控制設(shè)計(jì)方案 可編程控制系 統(tǒng) 是一種專門為在工業(yè)環(huán)境下應(yīng)用而設(shè)計(jì)的數(shù)字運(yùn)算操作電子系統(tǒng)。 VHDL 語言還包括程序包( package)和配置( configration)初級設(shè)計(jì)單元。使電梯進(jìn)入預(yù)上升狀態(tài)的請求信號就是上升 請求信號。 電梯實(shí)際上是一個(gè)人機(jī)交互式的控制系統(tǒng),單純用順序 優(yōu)先 或邏輯 優(yōu)先 是不能滿足控制要求的,因此, 控制 系統(tǒng)采用隨機(jī)邏輯方式控制 去解決信號優(yōu)先的問題 。具體流程圖如圖 11 所示: 圖 11 總程序流程圖 程序開始 VHDL 庫調(diào)用 設(shè)置控制器的端口 設(shè)置相關(guān)的寄存器 電梯運(yùn)行規(guī)則描述 電梯信號處理 程序結(jié)束 16 程序設(shè)計(jì)說明 、寄存器設(shè)計(jì)說明 ( 1) 由功能要求得到本程序設(shè)計(jì)的端口必須包括: 時(shí)鐘信號( clk); 超載信號( full)、關(guān)門中斷信號( deng)、提前關(guān)門信號( quick)、清除報(bào)警信號( clr); 一樓電梯外人的上升請求信號( c_u1)、二樓電梯外人的上升請求信號( c_u2); 二樓電梯外人的下降請求信號( c_d2)、三樓電梯外人的下降請求信號( c_d3); 電梯內(nèi)人請求到達(dá)一樓信號( d1)、電梯內(nèi)人請求到達(dá)二樓信號( d2)、電梯內(nèi)人請求到達(dá)三樓信號( d3); 到達(dá)一樓信號( g1)、到達(dá)二樓信號( g2)、到達(dá)三樓信號( g3); 電梯門控制信號( door); 電梯所在樓層顯示( led); 電梯外人上升請求信號顯示( led_c_u)、電梯外人下降請求信號顯示( led_c
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1