freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)字相移信號發(fā)生器系統(tǒng)的設(shè)計-資料下載頁

2024-11-12 15:31本頁面

【導(dǎo)讀】數(shù)字相移信號發(fā)生器已成為現(xiàn)代測試領(lǐng)域應(yīng)用最為廣泛的通用儀。器之一,代表了信號源的發(fā)展方向。而隨著大規(guī)??删幊踢壿嬈骷﨔PGA的發(fā)。計與實現(xiàn)提供了理論依據(jù)與技術(shù)支持。本文設(shè)計的數(shù)字相移信號發(fā)生器以直接數(shù)字頻率合成技術(shù)為核心,系統(tǒng)以EDA軟件為工具,采用VHDL語言,滿足了對信號相移控制更高。以及集成度高等特點。就為系統(tǒng)地維護帶來了方便,同時結(jié)合FPGA有效地擴展輸出波形的頻率范圍,實現(xiàn)了輸出兩路高精度相位差的正弦信號,使該系統(tǒng)性能穩(wěn)定可靠。

  

【正文】 =39。039。 InputI/O assignment from simuink blockPword A2W(7 downto 0) =Fword。 A2W(8) =39。039。 Unsigned to signed type extension msb=39。039。 A3W(10) =39。039。 OutputI/O assignment from simuink blockSout Souti:SBF GENERIC MAP( with_inl=11, with_inr=0, with_outl=1o, with_outr=0, lmp_signed=BusIsUnsigned, round=0, satur=0) port map( xin=A3W, yout=SASoutO)。 subsystem Hierarchysimulink block DDS DDSi:DDS port map( pword(7 downto 0) =A2W(7 downto 0), Fword(31 downto 0) =A1W(31 downto 0), Aword(9 downto 0) =A0W(9 downto 0), clock = clock, sclr = sclr, sout(9 downto 0) = A3W(9 downto 0))。 END ARCHITECTURE aDspbuilder。 28 數(shù)字移相信號發(fā)生器輸出波形 仿真波形 29 結(jié) 論 本 設(shè)計基于 FPGA 的數(shù)字移相信號發(fā)生器,充分利用的 FPGA開發(fā) 的模塊化設(shè)計來進行建模設(shè)計 方便快捷,提高開發(fā)效率,縮短研發(fā)周期,而且系統(tǒng)的調(diào)試方便,容易修改。結(jié)果表明該數(shù)字移相信號頻率及相位可靈活調(diào) 整,具有較高的頻率分辨率,能夠?qū)崿F(xiàn)頻率及相位的快速切換,實現(xiàn) 需要的數(shù)字移相信號發(fā)生器設(shè)計。如要改變輸出波形的步進精度,由數(shù)字移相信號發(fā)生器設(shè)計模型中,只需將頻率控制字、相位控制字的寬度改變即可,如要增加幅度控制,只需要在模型中用乘法器控制輸出幅度即可實現(xiàn)。因此采用基于 FPGA 設(shè)計 的 數(shù)字移相信號發(fā)生器簡單快捷且方便可調(diào) 。 結(jié)果 表明系統(tǒng)產(chǎn)生的波形穩(wěn)定,抗干擾能力強,頻率、相位和幅度調(diào)節(jié)方便,精度高,采用模塊 化設(shè)計 實現(xiàn)數(shù)字移相信號,便于修改和擴充其功能,達到需要的數(shù)字移相信號。 不過,由于時間倉促以及本人水平有限,論文還存在不少的不足和值得改進的地方: (1)論文沒能給出信號源的控制芯片、 D/ A 轉(zhuǎn)換器以及低通濾波器的型號和接口電路設(shè)計; (2)論文沒能給出整個信號源的電路板設(shè)計和制板,沒能完成電路的硬件測試。 30 致 謝 本論文的工作是在我的導(dǎo)師 焦素敏 副教授的悉心指導(dǎo)下完成的,導(dǎo)師嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度和科學(xué)的工作方法給了我極大的影響 和幫助, 她 的博學(xué)多識給予我大量的指導(dǎo),正是在導(dǎo)師的諄諄教導(dǎo)下,我不斷克服來自于方方面面的困難,最終較為順利的完成了畢業(yè)設(shè)計工作,在此向我的指導(dǎo)老師致以深沉的敬意和誠摯的謝意 ! 感謝實驗室一起學(xué)習(xí)的 花道昌、李彥鋒、高萬領(lǐng)、劉濤、高紅楊、關(guān)廳廳等同學(xué),他們給予了我諸多鼓勵和幫助,有了你們生活更精彩,這里表示衷心的感謝 ! 最后,向論文評審專家、學(xué)院的所有老師表示真誠的感謝,謝謝大家。 由于 本人 水平有限,文中難免有不妥和錯誤 之處,懇請各位老師、專家及同學(xué)批評指正 ! 31 參考文獻 [1] 周潤景 ,圖雅 ,張麗敏 .基于 QUARTUSⅡ 的 FPGA/CPLD 數(shù)字系統(tǒng)設(shè)計實例。北京:電子工業(yè)出版設(shè), [2]李志鵬,郭勇,沈軍.基于 DDS 技術(shù)實現(xiàn)信號發(fā)生器[ J].微計算機信息, 2020,192: 175177 [3]唐茹冰,王存誠,射頻加熱治療腫瘤體模實驗的數(shù)值模擬 .北京 :清華大學(xué)學(xué)報(自然科學(xué)版) , [4]劉愛榮 ,王振成,曹瑞 ,盧印舉 .EDA 技術(shù)與 CPLD/FPGA 開發(fā)應(yīng)用簡明教程 .北京:清華大學(xué)出版社, 2020, [5]趙興亮,阮炳濤,孟昕等.一種數(shù)字式移相信號發(fā)生器的設(shè)計與實現(xiàn) [J].自動化儀表, 2020. 2 [6]高澤溪,高成 .直接頻率數(shù)字頻率合成器 (DDS)及其性能分析 .北京航空航大大學(xué)學(xué)報 1998,2 [7]徐欣,于紅旗,易凡,盧啟中等編著 .基于 FPGA 的嵌入式系統(tǒng)設(shè)計 .北京: 機械工業(yè) 出版社 , [8]華成英 ,葉朝輝編 .可編程控制器原理及應(yīng)用 . 清華大學(xué)出版社, 2020 [9]王艷林,李東,劉桂禮.相位可調(diào)的雙通道信號發(fā)生器的設(shè)計.自動化與儀器儀表, 2020. 8 [10]朱兆 國,林剛勇 ,馬善農(nóng),王海濤 .電子電路設(shè)計技術(shù) .北京:國防工業(yè)出版社, [11]林克明 ,陳羽 ,郭從良編著 .微控制器系統(tǒng)原理與應(yīng)用 . 北京:科學(xué)出版社, 2020 [12]潘松 ,王國棟編著 .VHDL 實用教程 .成都 :電子科技大學(xué)出版社, 2020 [13]朱明程,孫普譯 .可編程邏輯系統(tǒng)的 VHDL 設(shè)計技術(shù) .南京 :東南大學(xué)出版社 ,1998. [14]焦素敏主編 .EDA 應(yīng)用技術(shù) .北京 :清華大學(xué)出版社, 2020 [15] 張學(xué)峰,胡長江編著.功能各異的各種任意波形發(fā)生器.國外電子測量技術(shù). 2020. 4. 32 [16]鄭君里 等 .信號與系統(tǒng)(第二版)上 ,下冊 北京 :高等教育出版社 [17]李平 .最優(yōu)模糊控制器的系統(tǒng)設(shè)計 [J].控制理論與應(yīng)用 ,1995 年第 1期 [18]劉寶琴等 .ALTERA 可編程器件及其應(yīng)用 .北京:清華大學(xué)出版社, 1995 [19]任曉東 .CPLD/FPGA 高級應(yīng)用開發(fā)指南 .北京:電子工業(yè)出版社 ,2020 [20] 周富國 .利用 FPGA 實現(xiàn) DDS 專用集成電路 .電子技術(shù)應(yīng)用,之 998(3) [21]張連江理想直接數(shù)字頻率合成器 (DDS) 原理及諧波和雜散分析,艦船電子對抗, 1998, [22]遲忠君, 徐云,常飛.頻率合成技術(shù)發(fā)展概述.現(xiàn)代科學(xué)儀器, 2020, (3):, [23]程云長 .可編程邏輯器件與 VHDL 語言 .北京:科學(xué)出版社, 2020 [24] Nicholas H T, Samueli Hand Kim B. An Analysis of the output Spectrum of Direct Digital Frequency Synthesizer in the Presence of Phase Accumulator Truncation. Proceedings of4 1St Annual Frequency Control Symposium, 1 987:495— 502 [25] Cordesscs . Direct Distal Synthesis : A Tool for Periodic Wave Generation. IEEE signal Processing Magazine. 2020: 5054. [26] RIERA S, JOSE M. Si, Spurious performance of direct digital synthesizer generating modeled signals. Mediterranean Electro technical Conference. Tianjin, China, lEEE, 2020, (1): 920. 927. [27] A. Ashrafl and R. Adhami. An Optimized Direct Distal Frequency Synthesizer Based on Even Fourth Order Polynomial Interpolation[C]. Proe. Southeastern Symposium on System Theory. 2020: 109— 113. 相關(guān)網(wǎng)站: 附錄 :數(shù)字相移信號發(fā)生器的程序; 33 library ieee。 use 。 use 。 library dspbuilder。 use dspbuilder。 use 。 library lmp。 use 。 entity dps is port( clock : in std_logic。 sclrp : in std_logic:=39。039。 Aword : in std_logic_vector(9 downto 0)。 fword : in std_logic_vector(31 downto 0)。 pword : in std_logic_vector(7 downto 0)。 sout : out std_logic_vector(9 downto 0) 0。 end dps。 architecture aDspbuilder of dps is signal SASoutO :std_logic_vector(9 downto 0)。 signal sclr : std_logic:=39。039。 signal A0W : std_logic_vector(10 downto 0)。 signal A1W : std_logic_vector(32 downto 0)。 signal A2W : std_logic_vector(8 downto 0)。 signal A3W : std_logic_vector(10 downto 0)。 signal A4W: std_logic。 signal A5W: std_logic_vector(63 downto 0) signal A6W: std_logic_vector(0 downto 0) subsystem hierarchysimulink block DDS COMPONENT DDS 34 port( CLOCK : in std_logic。 sclr : in std_logic。 Aword : in std_logic_vector(9 downto 0)。 fword : in std_logic_vector(31 downto 0)。 pword : in std_logic_vector(7 downto 0)。 sout : out std_logic_vector(9 downto 0) )。 END COMPONENT。 BEGIN ASSERT(10) REPORT ALTVERSION SEVERITY Note。 sout = SASoutO。 Global reset circuity for the input global reset sclrp sclr =sclrp。 InputI/O assignment from simuink blockAword A0W(9 downto 0) =Aword。 A0W(10) =39。039。 InputI/O assignment from simuink blockFword A1W(31 downto 0)=Fword。 A1W(32) =39。039。 InputI/O assignment from simuink blockPword A2W(7 downto 0) =Fword。 A2W(8) =39。039。 Unsigned to signed type extension msb=39。039。 A3W(10) =39。039。 OutputI/O assignment from simuink blockSout Souti:SBF GENERIC MAP( with_inl=11, with_inr=0, with_outl=1o, 35 with_outr=0, lmp_signed=BusIsUnsigned, round=0, satur=0) port map( xin=A3W, yout=SASoutO)。 subsystem Hierarchysimulink block DDS DDSi:DDS port map( pword(7 downto 0) =A2W(7 downto 0), Fword(31 downto 0) =A1W(31 downto 0), Aword(9 downto 0) =A0W(9 downto 0), clock = clock, sclr = sclr, sout= A6W)。 END ARCHITECTURE aDspbuilder。
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1