【文章內(nèi)容簡(jiǎn)介】
。 use 。 entity tliu is 計(jì)數(shù)器加 1 輸出計(jì)數(shù)器的值 計(jì)數(shù)器滿 port( clk,clr,ena :in std_logic。 dout:out std_logic_vector(3 downto 0)。 co:out std_logic )。 end tliu。 architecture art of tliu is signal t:std_logic_vector(3 downto 0)。 begin process(clk,clr,t) begin if clr=39。139。 then t=0000。 co=39。039。 elsif rising_edge(clk)then if ena=39。139。then if t=0101then t=0000。 co=39。139。 else t=t+39。139。 復(fù)位信號(hào)有效 時(shí)鐘上升沿 允許計(jì)數(shù) 計(jì)