freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的步進(jìn)電機(jī)的pwm控制細(xì)分驅(qū)動(dòng)的實(shí)現(xiàn)-資料下載頁(yè)

2025-06-18 15:43本頁(yè)面
  

【正文】 process(a,b) begin if ab then agb=39。139。 else agb=39。039。 end if。end process。end。附錄5:多路選擇器library ieee。use 。use 。entity mux isport(a,b: in std_logic_vector(3 downto 0)。 s: in std_logic。 y:out std_logic_vector(3 downto 0))。end entity mux。architecture one of mux isbegin process(a,b,s) begin if s=39。039。 then y=a。 else y=b。end if。end process。end architecture one。附錄6:轉(zhuǎn)換器library ieee。use 。entity dec2 is port(clk: in std_logic。 a: in std_logic_vector(1 downto 0)。 d: out std_logic_vector(3 downto 0))。end。architecture one of dec2 issignal cq:std_logic_vector(1 downto 0)。begin process(cq) begin case cq is when 00= d=1001。 when 01= d=1100。 when 10= d=0110。 when 11= d=0011。 when others= null。 end case。 end process。 process(clk) begin if clk39。event and clk=39。139。 then cq=a。end if。 end process。end。附錄7:PWM_ROM表數(shù)據(jù) width=16。depth=32。address_radix=hex。data_radix=hex。content begin0:f000。1:f600。2:f900。3:fc00。4:ff00。5:cf00。6:9f00。7:6f00。8:0f00。9:0f60。a:0f90。b:0fc0。c:0ff0。d:0cf0。e:09f0。f:06f0。10:00f0。11:00f6。12:00f9。13:00fc。14:00ff。15:00cf。16:009f。17:006f。18:000f。19:600f。1a:900f。1b:c00f。1c:f00f。1d:f00c。1e:f009。1f:f006。end。附錄8:ROM定制程序LIBRARY ieee。USE 。LIBRARY altera_mf。USE 。ENTITY pwm_rom IS PORT ( address : IN STD_LOGIC_VECTOR (4 DOWNTO 0)。 inclock : IN STD_LOGIC 。 q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) )。END pwm_rom。ARCHITECTURE SYN OF pwm_rom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (15 DOWNTO 0)。 COMPONENT altsyncram GENERIC ( intended_device_family : STRING。 width_a : NATURAL。 widthad_a : NATURAL。 numwords_a : NATURAL。 operation_mode : STRING。 outdata_reg_a : STRING。 address_aclr_a : STRING。 outdata_aclr_a : STRING。 width_byteena_a : NATURAL。 init_file : STRING。 lpm_hint : STRING。 lpm_type : STRING )。 PORT ( clock0 : IN STD_LOGIC 。 address_a : IN STD_LOGIC_VECTOR (4 DOWNTO 0)。 q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) )。 END COMPONENT。BEGIN q = sub_wire0(15 DOWNTO 0)。 altsyncram_ponent : altsyncram GENERIC MAP ( intended_device_family = Cyclone, width_a = 16, widthad_a = 5, numwords_a = 32, operation_mode = ROM, outdata_reg_a = UNREGISTERED, address_aclr_a = NONE, outdata_aclr_a = NONE, width_byteena_a = 1, init_file = G:/qin/pwm_rom/, lpm_hint = ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=ROM, lpm_type = altsyncram ) PORT MAP ( clock0 = inclock, address_a = address, q_a = sub_wire0 )。END SYN。 謝 辭在這里我要感謝我的指導(dǎo)老師鄭亞民老師的悉心指導(dǎo),通過(guò)她的指導(dǎo)我才能很好的完成此設(shè)計(jì)。鄭老師在不同的時(shí)間定時(shí)給我們的畢業(yè)設(shè)計(jì)做出建設(shè)性的意見(jiàn),使我在此次設(shè)計(jì)中學(xué)習(xí)到了更多的知識(shí)和技巧,而這一點(diǎn)確是比任何東西都有價(jià)值的。同時(shí),我還要感謝其他老師和同學(xué)給我的支持和幫助,沒(méi)有他們的幫助,我是不可能這么順利的完成設(shè)計(jì)
點(diǎn)擊復(fù)制文檔內(nèi)容
規(guī)章制度相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1