freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的數(shù)字電子鐘的設計-展示頁

2024-11-22 03:16本頁面
  

【正文】 時 ”“ 分 ”“ 秒 ” 顯示于人的視覺器官的計時裝置。因此,一個基本的數(shù)字鐘電路主要由 “ 時 ”“ 分 ”“ 秒 ” 計數(shù)器校時電路組成。 “ 時計數(shù)器 ”采用 24 進制計數(shù)器,可實現(xiàn)對一天 24 小時的累計。通過六位LED七段顯示器顯示出來。 在同一CPLD芯片口集成如下電路模塊: 1.電子鐘計數(shù)采用層次化設計,將設計任務分成若干個模塊。 (1)second(秒) 60進制BCD碼計數(shù) (2)minute(分) 60進制BCD碼計數(shù) (3)hour (時) 24進制BCD碼計數(shù) (4) clock top 頂層設計 同時整個計數(shù)器有清零,調(diào)時,調(diào)分功能。 邏輯功能圖: 六 .設計過程 (一) 軟件設計: 模塊:用來對秒進行計時,當記到計數(shù)器的低四位為 1001 時,若高三位不是 101 時,則秒計數(shù)器加 7,目的是使計數(shù)值變?yōu)?BCD碼。當計數(shù)器的低四位不為 1001 時,計數(shù)器加 1。 SECOND 模塊源程序如下: library ieee。 use 。 enmin:out std_logic。 end entity second。 signal enmin_1,enmin_2:std_logic。 enmin_2=(setmin and clk)。 process(clk,reset,setmin) begin if(reset=39。)then count=0000000。event and clk=39。)then if(count(3 downto 0)=1001)then if(count1660)then if(count=1011001)then enmin_1=39。count=0000000。 end if。 end if。 enmin_1=39。after 100 ns。 end if。 end process。 模塊:用來對分進行計時,當記到計數(shù)器的低四位為 1001 時,若高三位不是 101 時,則分計數(shù)器加 7,目的是使計數(shù)值變?yōu)?BCD碼。當計數(shù)器的低四位不為 1001 時,計數(shù)器加 1。 MINUTE 模塊源程序如下: library ieee。 use 。 enhour:out std_logic。 end entity minute。 signal enhour_1,enhour_2:std_logic。 enhour_2=(sethour and clk1)。 process(clk,reset,sethour) begin if(reset=39。)then count=0000000。event and clk=39。)then if(count(3 downto 0)=1001)then if(count1660)then if(count=1011001)then enhour_1=39。count=0000000。 end if。 end if。 enhour_1=39。after 100 ns。 end if。 end process。 模塊:用來對時進行計數(shù),當記到計數(shù)器的低四位為 1001 時,若高三位小于 010 時,則時計數(shù)器加 7,目的是使計數(shù)值變?yōu)?BCD 碼。 HOUR 模塊的時鐘由 SETHOUR 和 MINUTE 記到 60 的進位兩部分組成。 use 。 entity hour is port(clk,reset:in std_logic。 end entity hour。 begin daout=count。139。 elsif(clk39。139。 else count=000000。 elsif(count1623)then count=count+1。 end if。 end process。 CLOCK_TOP 模塊:用來對元件進行例化,以及對 端口進行映射。 use 。 second_daout,minute_daout:out std_logic_vector(6 downto 0)。 end clock_top。 daout:out std_logic_vector(6 downto 0)。 end ponent。 enhour:out std_logic。 end ponent。 daout:out std_logic_vector(5 downto 0))。 signal enmin_re,enhour_re:std_logic。 u2:minute port map(clk=enmin_re, reset=reset, clk1=clk, sethour=sethour, enhour=enhour_re, daout=minute_daout)。 end a。本實驗運用的芯片是
點擊復制文檔內(nèi)容
教學課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1