freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的ic卡電話計費系統(tǒng)的設(shè)計-展示頁

2024-11-20 01:35本頁面
  

【正文】 clk1k=39。 architecture keytab of KeyTab is signal delay: std_logic_vector(3 downto 0)。 keyout: out std_logic)。 entity KeyTab is port(clk1k: in std_logic。 use 。 三、 IC 電話計費器各模塊的設(shè)計與實現(xiàn) 摘機、接通信號的按鍵去抖模塊 按鍵去抖模塊的實現(xiàn)原理就是對于檢測到的一個信號,如果其在接下來的一段時間 (一般定義為 15 秒 )檢測中一直處于有效狀態(tài),則可以判斷此信號是穩(wěn)定并且真正有效的,否則的話就判斷此 信號為干擾脈沖,即其是無效信號。它主要完成用戶通話過 程的 計時、計費功能, 且能夠根據(jù)當(dāng)前通話的種類 (長話 元 /分 、市話 元 /分、 特話 免費 )進(jìn)行相應(yīng)的扣費, 其通話時間和卡值 余額 (最大余額為 元 )信 息每分鐘更新一次,并能在用戶通話時其卡內(nèi)余額不足以繼續(xù)通話時能 通過告警信號提示用戶,并在數(shù)秒后強制結(jié)束通話。這樣一來,就能避免系統(tǒng)在計費時對插卡和接通信號的錯誤檢測,以保證整個系統(tǒng)的正常運行。其系統(tǒng)框圖如圖21 所示,其各模塊的功能如下所述。其中的顯示模塊又可由位掃描模塊、段掃描模塊和 LED 顯示譯碼模塊組成。 (3) 話務(wù)分為三類:市話每分鐘 元,長話每分鐘 元,特話免費; (4) 通話過程中, 用戶卡上余額不足時發(fā)出告警信號提醒用戶,幾秒后便切斷通話。 關(guān)鍵 詞 : IC 電話 計費器, FPGA,自頂向下, QuartusⅡ II 目 錄 摘 要 ........................................................................................................................... I 一、 IC電話計費器的設(shè)計要求 .......................................................................... 1 二、 IC電話計費器的設(shè)計方案 .......................................................................... 1 三、 IC電話計費器各模塊的設(shè)計與實現(xiàn) ....................................................... 2 摘機、接通信號的按鍵去抖模塊 ...................................................... 2 電話計費、計時核心模塊 .................................................................... 3 譯碼顯示模塊 ......................................................................................... 11 電話計費器的頂層電路設(shè)計及仿真 ............................................... 14 四、總結(jié) ................................................................................................................... 19 參考文獻(xiàn) ................................................................................................................... 19 第 1 頁 一、 IC 電話計費器的設(shè)計要求 設(shè)計一個 IC 卡電話計費器,能顯示用戶 IC 卡值余額和當(dāng)前通話時間,并 可以根據(jù)用戶當(dāng)前通話的話務(wù)種類扣除相應(yīng)的話費。 集成電路設(shè)計自動化理論與實踐 (EDA)課程設(shè)計 設(shè)計題目: 基于 FPGA 的 IC 卡電話計費系統(tǒng)的設(shè)計 學(xué) 號: 姓 名: 學(xué) 院 : 專 業(yè): 完成時間 : I 摘 要 本次設(shè)計主要基于 FPGA 器件完成了一個 IC 電話計費器的設(shè)計, 其能夠顯示用戶 IC 的卡值余額,并能夠根據(jù)用戶當(dāng)前的話務(wù)種類和通話時間進(jìn)行扣費,并將用戶的實時余額和通話時間通過 8 位 LED 七段顯示器顯示出來。 整個設(shè)計過程采用自頂向下的分塊設(shè)計方法,即將整個 電話計費系統(tǒng)分為 電話計費、計時模塊和顯示模塊兩大 模塊,其各模塊的實現(xiàn)是基于 QuartusⅡ 平臺使用 VHDL 硬件描述語言編程實現(xiàn)的。其具體功能要求如下: (1) 卡值余額每分鐘更新一次; (2) 計時與計費數(shù)據(jù)以十進(jìn)制通過 LED 數(shù)碼管顯示,其中用三位數(shù)碼管 顯示卡余額,最大額度為 元。 二、 IC 電話計費器的設(shè)計方案 根據(jù)層次化設(shè)計理論,該電話計費器主要由顯示模塊,計費計時模塊和一個頂層文件組成。 另外在計費計時模塊中引入一個按鍵去抖模塊,以防止系統(tǒng)對插卡、接通信號檢測時出現(xiàn)錯誤,以保證整個計費計時模塊的精確性。 圖 21 IC電話計費器系統(tǒng)框圖 (1) 按鍵去抖 模塊 按鍵去抖 模塊主要用于對卡插入信號和電話接通信號進(jìn)行去噪確認(rèn) , 即這 兩個 信號在開關(guān)過程中有可能出現(xiàn)電平抖動,這時 就需要這個模塊來進(jìn)一步判斷時鐘信號 卡插入信號號 接通信號 話務(wù)種類 初始余額 計 費 計 時 模 塊 譯碼模塊 顯示模塊 通話時間 卡值余額 寫卡信號 讀卡信號 告警信號 切斷通話 按鍵去抖模塊 第 2 頁 這兩個信號是否真正有效。 (2) 計費計時模塊 計費計時模塊是真?zhèn)€ IC 電話計費器的核心部分。 (3) 譯碼顯示模塊 該模塊經(jīng)過 8 選 1 選擇器將余額信息 (3 位 BCD 碼 以元為單位 )、計時信息 (3 位 BCD 碼 以分鐘為單位 )動態(tài)顯示 輸出。其 VHDL源代碼如下 (): 按鍵去抖模塊 library ieee。 use 。 keyin: in std_logic。 end KeyTab。 第 3 頁 begin process(clk1k) begin if(clk1k39。139。139。139。 end if。 keyout=39。 end if。 end process。 源程序中輸入信號 clk1k 為系統(tǒng)輸入的 1KHz 基準(zhǔn)時鐘,輸入信號 keyin 為待檢測的信號,輸出 keyout 為經(jīng)過去抖后的 信號。 電話計費、計時核心模塊 根據(jù) 前面所述的計費計時 模塊的功能描述,針對不同的話務(wù)種類進(jìn)行不同的計費,可以使用 case 語句 來進(jìn)行分類計費,另外,其計費計時的觸發(fā)需要通過插卡信號、接通信號來使能控制,因此這里可以使用 ifelsethen 結(jié)構(gòu)來完成。其 VHDL 源代碼如下 ():
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1