freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設計-基于fpga的出租車計價器設計-展示頁

2025-06-16 16:48本頁面
  

【正文】 ector IS type type_bcdx4 is array(3 downto 0) of std_logic_vector(4 downto 0)。 定義函數(shù)名 PACKAGE PACKEXP1 IS FUNCTION bcd_add8(AIN,BIN : in std_logic_vector) RETURN std_logic_vector。 use 。當出租車 啟動 時, SS為高電平, 用于將費用計數(shù)器復位為起步價 10元;當車處于行駛狀態(tài)且滿 3公里時, select_clk信號選擇 distans_enable,此后路程每滿 1公里,費用計數(shù)器加 1元;當出租車處于停止等待狀態(tài)且時鐘滿 2分鐘時, select_clk信號選擇 time_enable信號,時間每滿 1分鐘,費用計數(shù)器加 1元。 時模塊的仿真結果 : 從波形圖可以看出在 clk的控制下當 SS為 高 電平 DDBZ為 高 電平的時候時間計數(shù)但是費用沒有計數(shù), DDJFBZ為低電平。 END PROCESS。 DDSJ(7 DOWNTO 4)=Q1。 END IF。139。 END IF。 ELSE Q1:=Q1。 ELSIF Q0=9 THEN Q1:=Q1+1。EVENT THEN IF Q1=9 AND Q0=9 THEN Q1:=0000。139。139。039。Q0:=0000。039。 ARCHITECTURE ONE OF DDZT IS BEGIN PROCESS(CLK,SS,DDBZ) VARIABLE Q1,Q0: STD_LOGIC_VECTOR(3 DOWNTO 0)。 DDSJ:OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 DDBZ:IN STD_LOGIC。 USE 。 VHDL程序 : LIBRARY IEEE。 計時 模塊: 計時 模塊的框圖 : C LKSSD D BZD D J F BZD D SJ [7. .0]D D Z Tins t1 此模塊用于計算停車等待的時間。 END TWO。 END IF。 ELSE LCJFBZ=39。 OR (LC(7 DOWNTO 4)=0000 AND LC(3 DOWNTO 0)4) THEN LCJFBZ=39。 architecture TWO of LCJFBZ is BEGIN PROCESS(SS,LC) BEGIN IF SS=39。 LCJFBZ:OUT std_logic)。 entity LCJFBZ is port(SS:in std_logic。 use 。 END PROCESS。 END IF。 ELSE Q1=Q1。 ELSIF Q0=9 THEN Q1=Q1+1。 THEN IF Q1=9 AND Q0=9 THEN Q1=0000。EVENT AND CLKS=39。Q0=Q0。Q0=0000。WR。 begin process(clks,SS,WR,LC) VARIABLE SW:STD_LOGIC_VECTOR(1 DOWNTO 0)。 end entity JC。 entity JC is port(clks,SS,WR:in std_logic。 use 。在出租車啟動并行駛的過程中 (開始 /結束 信號 SS為 1,行駛 /等待 信號 WR為 1),當時鐘 clks是 上升 沿的時候,系統(tǒng) 即對路程計數(shù)器 JC的里程計數(shù)器進行加計數(shù),當路程超過三公里時,系統(tǒng)將輸出標志正脈沖 LCJFBZ。 仿真的結果 從該波形圖可以看出輸入脈沖的頻率是輸出脈沖的頻率的 35 倍 。 END PROCESS。 END IF。039。 ELSE CNT:=CNT+1。 FULL:=39。139。 BEGIN IF CLK039。 ARCHITECTURE ONE OF PULSE IS BEGIN PROCESS(CLK0) VARIABLE CNT:STD_LOGIC_VECTOR(2 DOWNTO 0)。 FOUT:OUT STD_LOGIC)。 USE 。 分頻 模塊 的 VHDL 程序 LIBRARY IEEE。 分頻模塊: 分頻模塊的框圖 C LK0 F OU TPU LSEins t5 圖 分頻器的實體圖 此模塊的功能是對總的時鐘進行分頻,總的時鐘是 50M。 單元模塊設計,仿真結果及分析 本系統(tǒng)采用層次化、模塊化的設計方法,設計順序為自下向上 。 (7)輸出控制模塊:分時輸出里程、等待時間、費用三個信 號,實現(xiàn)動態(tài)顯示功能。白天收費標準:起步費為 元,超過 3 公里按 4 元 /公里,車暫停超過三分鐘按 2 元 /分鐘計算。 (5)等待狀態(tài)模塊:等待信號作用時,該模塊可以記錄等待的時間,并產(chǎn)生等待計費的信號。 (4)計程模塊:在等待信號未作用時,來一個時鐘脈沖信號,里程值加 1。 (2)分頻器:將時鐘信號進行分頻。 根據(jù)出租車計費器的工作過程,本系統(tǒng)采用分層次、分模塊的方式設計,其FPGA內(nèi)部具體框圖如下所示。 最后 根據(jù)行駛里程或停止等待的時間的 計費 標準計費。 動態(tài)顯示電路:采用的是數(shù)碼管來實現(xiàn)功能的輸出。 軟件 方案設計及原理框圖 F P G A 模塊 動 態(tài) 顯 示 電 路 開 關 電 路 及 方案設計 : 信號輸入:各種控制信號經(jīng)輸入端給控制芯片。 ( 3)動態(tài)顯示模塊 : 此模塊由六個數(shù)碼 管和三個二極管所構成, 17 個 200Ω 電阻起到限制電流的作用,使得流到數(shù)碼管的電流適當,防止數(shù)碼管中的電流過大,而使得數(shù)碼管損壞。 任務書(附錄一) 硬件 方案設計及原理框圖 硬件 系統(tǒng)組成框圖 各模塊的作用和組成: ( 1)開關模塊 該模塊的作用是用于電路的輸入的信號。 ( 4)設計超過三公里提醒功能。 ( 2)實現(xiàn)預置功能:能預置起步費、每公里收費、等待加費時間。晚上起步價為 元,并在車行3公里后再按 4元 /公里計算車費。 ( 5)各計數(shù)器的計數(shù)狀態(tài)用功能仿真的方法驗證,并通過有關波形確認電路設計是否正確。 ( 3)設計動態(tài)掃描電路:將車費、里程、等待時間動態(tài)的顯示出來。 功能要 求 基本功能: ( 1)按行駛里程收費,起步價為 元,并在車行 3 公里后再按 3 元 /公里計算車費。 ( 2)進一步掌握用 VHDL 語言設計數(shù)字邏輯電路。而計價器作為出租車的一個重要組成部分,關系著出租車司機和乘客雙方利益,起著重要的作用,因而出租車計價器的發(fā)展非常迅猛。本文介紹了一個以 Altera公司可編程邏輯芯片 cyclone2 系列 的 EP2C5T144C8 的 FPGA芯片 為控制核心、附加一定外圍電路組成的出租車計費器系統(tǒng)。 1. 引言 隨著 EDA 技術的高速發(fā)展,電子系統(tǒng)的設計技術發(fā)生了深刻的變化,大規(guī)??删幊踢壿嬈骷?CPLD/ FPGA 的出現(xiàn),給設計人員帶來了諸多方便。 基于 FPGA 的出租車計價器設計 摘 要 介紹了出租車計費器系統(tǒng)的組成及工作原理,簡述了在 EDA 平臺上用 FPGA器件構成該數(shù)字系統(tǒng)的設計思想和實現(xiàn)過程。論述了計程模塊 , 計費模塊 ,計時模塊, 譯碼動態(tài)掃描模塊等的設計方法與技巧。利用它進行產(chǎn)品開發(fā),不僅成本低、周期短、可靠性高,而且具有完全的知識產(chǎn)權。 隨著社會的不斷進步,人們生活水平的不斷提高,出租車逐漸成為人們?nèi)粘I畈豢扇鄙俚慕煌üぞ摺? 2. 出租車計費系統(tǒng)的實驗任務及要求 技術要求 ( 1)掌握較復雜邏輯的設計、調(diào)試。 ( 3)掌握用 Max+pulsII 軟件的原理圖輸入的設計方法。 ( 2)實現(xiàn)模擬功能:能模擬汽車啟動、停止。 ( 4)用 VHDL 語言設計符合上述功能要求的出租車計費器,并用層次化設計方法設計該電路。 附加功能: ( 1)增加了晚上計費功能和等待功能。車白天停止超過三 分鐘后按 1 元 /分鐘計算,晚上超過 3分鐘按 2元 /分鐘計算。 ( 3)實現(xiàn)模擬功能:白天、黑夜;等待、行駛狀態(tài)。 本人任務 本人 負責軟件部分。 主要有三個開關以及三個限流電阻 ,電源構成。數(shù)碼管將計費、等待時間和里程動態(tài)的顯示出來。 控制芯片:采用的有 CPLD 或者 FPGA 等。 FPGA內(nèi)部具體框圖 及 方案設計 : 出租車的一般計費過程為:出租車載客后,啟動計費器,整個系統(tǒng)開始運行,里程計 數(shù)器從 0開始計數(shù),費用計數(shù)器從 9開始計算 ; 出租車載客 中途等待,等待時間計數(shù)器從 0開始計數(shù)。出租車到達目的地停止后,停止計費器,顯示總費用。 各模塊的功能: (1)由 FPGA 晶振電路產(chǎn)生 50MHz 時鐘信號并輸入。 (3)標志模塊:將按鈕產(chǎn)生的脈沖轉(zhuǎn)化為一種標志信號。 車費 計數(shù) 模塊 車行駛狀態(tài) 譯 碼 模 塊 輸 出 控 制 模 塊 里程 計數(shù) 模塊 控制 芯片 信號輸入 動態(tài)顯示模塊 分 頻 器 輸入信號 該模塊還包含一個路程計費標志的小模塊,輸出一個路程計費的信號。 (6)車費計數(shù)模塊:按行駛里程收費,分為白天和黑夜。黑夜收費標準:起步費為 元,超過 3公里按 5元 /公里,車暫停超過三分鐘按 1元 /分鐘計算。 (8)譯碼模塊:實現(xiàn)將車費計數(shù)模塊、等待狀態(tài)模塊和里程計數(shù)模塊輸出的 BCD 碼轉(zhuǎn)換成七段碼輸出。首先實現(xiàn)系統(tǒng)框圖中的各子模塊,然后由頂層模塊調(diào)用各子模塊來完成整個系統(tǒng)。 計數(shù)分頻器使用五個這樣基本的分頻器( 35分頻)組合而成,控制模塊分頻器使用三個這樣基本的分頻器( 35分頻)組合
點擊復制文檔內(nèi)容
畢業(yè)設計相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1