freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的直接數(shù)字頻率合成器設(shè)計-文庫吧資料

2025-06-28 13:43本頁面
  

【正文】 yncram GENERIC MAP ( clock_enable_input_a = BYPASS, clock_enable_output_a = BYPASS, init_file = , intended_device_family = Cyclone II, lpm_hint = ENABLE_RUNTIME_MOD=NO, lpm_type = altsyncram, numwords_a = 1024, operation_mode = ROM, outdata_aclr_a = NONE, outdata_reg_a = CLOCK0, ram_block_type = M4K, widthad_a = 10, width_a = 10, width_byteena_a = 1 ) PORT MAP ( clock0 = clock, address_a = address, q_a = sub_wire0)。 END COMPONENT。 address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0)。 width_byteena_a : NATURAL )。 widthad_a : NATURAL。 outdata_reg_a : STRING。 operation_mode: STRING。 lpm_type : STRING。 intended_device_family : STRING。 clock_enable_output_a : STRING。ARCHITECTURE SYN OF juxing IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0)。 q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0))。 ENTITY juxing ISPORT (address : IN STD_LOGIC_VECTOR (9 DOWNTO 0)。 LIBRARY altera_mf。 程序如下:LIBRARY ieee。end behav。end if。elseq=00000000。139。end process。end if。elsea=39。if tmp=10000000thena=39。else tmp:=tmp+1。039。039。beginprocess(clk,reset)variable tmp:std_logic_vector(7 downto 0)。end square。entity square isport(clk,reset: in std_logic。use 。更改“低電平”和“高電平”出現(xiàn)的比例,可以達到調(diào)節(jié)占空比的目的。 end behav。 q=tmp。 end if。 else tmp:=tmp1。 a:=39。 end if。139。then a=0時依次輸出三角波上升沿 if tmp=11111110then tmp:=11111111。 elsif rising_edge(clk) then 捕捉時鐘信號上升沿 if a=39。039。 定義中間變量tmp、a variable a:std_logic。end triangle。 程序包entity triangle is 定義三角波實體 port(clk,reset:in std_logic。use 。 三角波產(chǎn)生程序設(shè)計 三角波波形是對稱的,每邊呈線形變化,所以可以根據(jù)地址數(shù)據(jù)做簡單運算,就可以得到三角波。altsyncram_ponent : altsyncramGENERIC MAP (clock_enable_input_a = BYPASS,clock_enable_output_a = BYPASS,init_file = ,intended_device_family = Cyclone II,lpm_hint = ENABLE_RUNTIME_MOD=NO,lpm_type = altsyncram,numwords_a = 1024,operation_mode = ROM,outdata_aclr_a = NONE,outdata_reg_a = CLOCK0,ram_block_type = M4K,widthad_a = 10,width_a = 10,width_byteena_a = 1)PORT MAP (clock0 = inclock,address_a = address,q_a = sub_wire0)。END COMPONENT。 address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0)。width_byteena_a : NATURAL)。widthad_a : NATURAL。outdata_reg_a : STRING。operation_mode : STRING。lpm_type : STRING。intended_device_family : STRING。clock_enable_output_a : STRING。ARCHITECTURE SYN OF sin_rom ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0)。 q: OUT STD_LOGIC_VECTOR (9 DOWNTO 0))。ENTITY sin_rom ISPORT ( address : IN STD_LOGIC_VECTOR (9 DOWNTO 0)。LIBRARY altera_mf。程序如下:LIBRARY ieee。五、程序設(shè)計 正弦波產(chǎn)生程序設(shè)計 通過循環(huán)不斷地從RAM中依次讀取正弦波一個周期在時域上64個采樣點的波形數(shù)據(jù)送入波形DAC,從而產(chǎn)生正弦波。:按ADDER32的產(chǎn)生方法來產(chǎn)生LMP_ROM,在宏模塊選擇中選“Memory Complier”中“ROM:1PORT”項,依次設(shè)定地址線與數(shù)據(jù)線的位寬均為十位,最后產(chǎn)生LMP_ROM,如圖44所示。WIDTH = 10。 正弦波
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1