freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設計數(shù)字秒表有擴展-資料下載頁

2025-06-30 16:39本頁面
  

【正文】 圖39 選擇電路 RTL 圖 多次記錄數(shù)據(jù)秒表設計—— 根據(jù)兩個使能端 ennenn2 和 rea 信號來控制秒表 2 的輸出顯示在顯示器上。library ieee。 ——頂層實體use 。entity clocks is port (clk1:in std_logic。 ——外接時鐘信號 5MHz enn1:in std_logic。 ——表一使能信號 enn2:in std_logic。 ——表二使能信號 rst1:in std_logic。 ——復位信號 rea :in std_logic。 ——讀取信號 set1:out std_logic_vector (23 downto 0)) 。end entity。architecture one of miaobiao2 isponent choose is ——調用選擇電路聲明語句port ( lk: in std_logic。 s1: in std_logic_vector(23 downto 0)。 s2: in std_logic_vector(23 downto 0)。 o : out std_logic_vector(23 downto 0) )。end ponent。ponent clock is ——調用秒表聲明語句 port(clkk:in std_logic。 enn:in std_logic。 rstt:in std_logic。 set:out std_logic_vector (23 downto 0) )。end ponent 。signal a,b,c,d: std_logic_vector(23 downto 0)。begin ——例化語句東北石油大學硬件課程設計16 u1: clock port map (clkk=clk1,enn=enn1,rstt=rst1,set=a)。 u3: clock port map (clkk=clk1,enn=enn2,rstt=rst1,set=c)。 u5: choose port map (lk=rea, s1=a,s2=c,o=set1)。end architecture one。圖 310 可多次記錄秒表 RTL 圖 圖 311 可多次記錄秒表時序仿真圖東北石油大學硬件課程設計17 電子秒表下載實現(xiàn)新建一個工程,工程名為 clocks,在工程中建立多個 VHDL 文件包括 :、 和 。編譯頂層文件,對編譯的結果進行仿真,引腳分配,下載到硬件中等等。 VHDL 語言是否正確無誤 ,采用功能仿真。,并對輸入端進行賦值 ,并運行得到如圖 311 的波形圖。,如圖 312 所示。(KX_DN 系列)中并運行,如圖 313 所示。 圖 312 引腳分配圖東北石油大學硬件課程設計18 圖 313 程序下載到芯片效果圖東北石油大學硬件課程設計19結 論通過此次課程設計,讓我對 EDA 這門技術有了更深的體會,并更好的學會了使用 QuartusⅡ軟件進行硬件設計。在編寫程序的過程中,遇到了很多問題,使我發(fā)現(xiàn)自己以前學習上存在的不足。通過與同學探討和請教老師,終于把問題都解決了,并加深了對數(shù)字時鐘原理和設計思路的了解。同時我也掌握了做課程設計的一般流程,為以后的電子設計這塊積累了一定的經(jīng)驗,為以后從事相關工作有一些幫助。最終解決了問題,攥寫成報告。通過對設計對實現(xiàn)和對報告對撰寫,深深體會到了 VHDL 語言和 EDA 技術的一些技巧和設計思想,在完成設計的過程中,應該具有很清晰地思路,才可以使電路更完美和簡便,要敢想敢做但是不應該有投機取巧的心理。在完成每一步的時候都有意想不到的收獲也有可能導致錯誤,所以在設計對過程中要集中精神。在寫報告的過程中,更加凸顯了細心二字。不可自認為完美,必須按照格式要求來撰寫自己的報告,所以必須做到足夠的精確。利用 EDA 工具,電子設計師可以從概念、算法、協(xié)議等開始設計電子系統(tǒng),大量工作可以通過計算機完成,并可以將電子產(chǎn)品從電路設計、性能分析到設計版圖的整個過程的計算機上自動處理完成。在進行設計時并不束縛設計者的想象力,這使得自學、擴展也可以很容易實現(xiàn)。在設計中充分的認識到 EDA 課程對硬件設計的重要性,若把本門課程學好、學精,對硬件設計將有很大對幫助。以后若有機會我將會利用更多時間來學習 EDA 技術、更加深入的學習 EDA 技術。EDA技術以其獨有的優(yōu)點和應用范圍有著非常好的發(fā)展前景,是近幾年電子工業(yè)的發(fā)展趨向,中國的 EDA 行業(yè)發(fā)展十分迅速,有著很大的潛力。所以我們學好這門課程是十分必要的,我們不應該僅僅拘泥于一門課程的學習,要結合各學科的連接點,把我們的知識串聯(lián)起來。為我們的未來做好知識儲備。以上就我關于這次課程設計的想法,在以后,我會用更多的時間去了解 EDA。東北石油大學硬件課程設計20并且提高自己的知識水平。 參考文獻[1] 潘松, 技術使用教程(第三版).北京:科學出版社,2022[2] 蔣小燕,俞偉鈞,張立臣. EDA 技術及 :東南大學出版社,2022[3] 鄭家龍,王小海,:高等教育出版社2022[4] :高等教育出版社,2022[5] 盧杰, :科學出版社,2022[6] 趙全利, :機械工業(yè)出版社,2022 [7] 段玉生,王艷丹, EDA :清華大學出版社,2022[8] 孫富明, EDA 工具的 FPGA 設計,電子技術應用,2022年 1 月,第 1 期 [9] 李國麗,:中國科技大學出版社,2022[10] Altera Corporation,“QuartusII Help Version ”xxxxxxxxx 大學課程設計成績評價表課程名稱 硬件課程設計題目名稱 電子秒表設計學生姓名 學號 指導教師姓名 職稱 副教授序號 評價項目 指 標(優(yōu)秀) 滿分 評分1 選題難度選題難度較高,或者對原題目進行了相當程度的改進。102工作量、工作態(tài)度和出勤率工作量飽滿,工作努力,遵守紀律,出勤率高,工作作風嚴謹,善于與他人合作。103 課程設計質量按期圓滿的完成了規(guī)定的任務,方案設計合理,思考問題全面,系統(tǒng)功能完善。354 報告質量問題論述思路清晰,結構嚴謹,文理通順,撰寫規(guī)范,圖表完備正確。305 回答問題在進行課程設計程序系統(tǒng)檢查時,能正確回答指導教師所提出的問題。106 創(chuàng)新(加分項)工作中有創(chuàng)新意識,對前人工作有改進或有應用價值。在進行系統(tǒng)檢查時能對創(chuàng)新性進行說明,并在報告中有相應的論述。+5總分評語:指導教師: 年 月 日
點擊復制文檔內(nèi)容
法律信息相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1