freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda的多路彩燈控制器設(shè)計-資料下載頁

2025-06-26 15:40本頁面
  

【正文】 clk_tmp=39。039。 counter=00。elsif clk39。event and clk=39。139。 then if opt=39。039。 then 四分頻,快節(jié)奏 if counter=01 then counter=00。 clk_tmp=not clk_tmp。 else counter=counter+39。139。 end if。 else 八分頻,慢節(jié)奏 if counter=11 then counter=00。 clk_tmp=not clk_tmp。 else counter=counter+39。139。 end if。 end if。end if。end process。clkout=clk_tmp。 輸出分頻后的信號end rtl。程序編譯如圖所示從圖中可以看出,當復位信號為高電平時,電路時鐘輸出清零,當快慢信號OPT為低電平時,時序控制電路四分頻起作用,當快慢信號OPT為高電平時,時序控制電路八分頻起作用,仿真結(jié)果符合電路要求。生成模塊如下從max plus軟件生成模塊中可以直接選擇生成器件其組成如圖所示library ieee。use 。entity cotop isport ( clk: in std_logic。 clr: in std_logic。 opt:in std_logic。 led: out std_logic_vector(7 downto 0))。 八路彩燈輸出end cotop。architecture rtl of cotop is ponent kz is 定義元件:時序控制電路 port( clk: in std_logic。 clr: in std_logic。 opt:in std_logic。 clkout: out std_logic)。end ponent kz。 ponent xs is 定義元件:顯示電路port( clk: in std_logic。 clr: in std_logic。 led: out std_logic_vector(7 downto 0))。end ponent xs。signal clk_tmp: std_logic。begin u1:kz port map(clk,clr,opt,clk_tmp)。 例化時序控制模塊 u2:xs port map(clk_tmp,clr,led)。 例化顯示電路模塊end rtl。起生成模塊如圖所示其仿真圖如下所示模塊的設(shè)計使得程序得以實現(xiàn),對于程序的理解和對模塊的設(shè)計緊密的聯(lián)系起來利用EDA技術(shù)方便快捷的實現(xiàn)了設(shè)計。用VHDL進行設(shè)計,首先應該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級,寄存器傳輸級和邏輯門級多個設(shè)計層次。應充分利用VHDL“自頂向下”的設(shè)計優(yōu)點以及層次化的設(shè)計概念,層次概念對于設(shè)計復雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復雜的系統(tǒng)用VHDL進行設(shè)計,首先應該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級,寄存器傳輸級和邏輯門級多個設(shè)計層次。應充分利用VHDL“自頂向下”的設(shè)計優(yōu)點以及層次化的設(shè)計概念,層次概念對于設(shè)計復雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復雜的系統(tǒng)。通過使用EDA編程既方便有快捷的實現(xiàn)了程序本次設(shè)計的程序已經(jīng)在硬件系統(tǒng)上得到了驗證 ,實驗表明 ,此設(shè)計方法能夠滿足多種不同花樣彩燈的變化要求 ,并且該方法便于擴展不同變化模式的彩燈花樣。電子技術(shù)課程設(shè)計是配合電子技術(shù)基礎(chǔ)課程與實驗教學的一個非常重要的教學環(huán)節(jié)。它不但能鞏固我們已所學的電子技術(shù)的理論知識,而且能提高我們的電子電路的設(shè)計水平,還能加強我們綜合分析問題和解決問題的能力,進一步培養(yǎng)我們的實驗技能和動手能力,啟發(fā)我們的創(chuàng)新意識及創(chuàng)新思維。用VHDL進行設(shè)計,首先應該理解,VHDL語言是一種全方位硬件描述語言,包括系統(tǒng)行為級,寄存器傳輸級和邏輯門級多個設(shè)計層次。應充分利用VHDL“自頂向下”的設(shè)計優(yōu)點以及層次化的設(shè)計概念,層次概念對于設(shè)計復雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡單的單元入手,逐漸構(gòu)成龐大而復雜的系統(tǒng)。通過使用EDA編程既方便有快捷的實現(xiàn)了程序本次設(shè)計的程序已經(jīng)在硬件系統(tǒng)上得到了驗證 ,實驗表明 ,此設(shè)計方法能夠滿足多種不同花樣彩燈的變化要求 ,并且該方法便于擴展不同變化模式的彩燈花樣。電子技術(shù)課程設(shè)計是配合電子技術(shù)基礎(chǔ)課程與實驗教學的一個非常重要的教學環(huán)節(jié)。它不但能鞏固我們已所學的電子技術(shù)的理論知識,而且能提高我們的電子電路的設(shè)計水平,還能加強我們綜合分析問題和解決問題的能力,進一步培養(yǎng)我們的實驗技能和動手能力,啟發(fā)我們的創(chuàng)新意識及創(chuàng)新思維。致謝在本文的撰寫過程中,老師吳銳給予了悉心的指導和關(guān)心,使我克服了眾多困難終于完成了本次計算機課程設(shè)計的工作。老師們淵博的知識、嚴謹求實的治學態(tài)度及敬業(yè)精神,給我留下了深刻的印象,并將在我今后的人生道路上產(chǎn)生深遠的影響。當我完成了這次計算機課程設(shè)計的時候,有一種如釋重負的感覺,在經(jīng)歷了設(shè)計方案的焦灼、寫論文的煎熬之后,感覺好像整個人都充滿了自我會更加努力的。感謝我的指導老師老師們,在為其十三周的設(shè)計里,無形中塑造了我生命的氣質(zhì)、生活的方式,也練就了我樂觀的心態(tài)和一顆感恩的心。尊敬的指導老師們,無論是為人還是為學都是我生活上和學術(shù)上的引路人,感激之情無以言表,只能在日后的學習中踏實做人、勤奮做事,做出一番成績來回報他對我的恩惠。在指導老師的帶領(lǐng)下,十三周的努力時光將成為我生命中不可缺少的珍貴禮物。小組同學的互幫互助和深厚友誼更是賜予了我鉆研知識不可磨滅的記憶。在這十三周里,我收獲快樂并且成長,中間夾雜的汗水都是我人生中最寶貴的財富。當然,還有指導老師的大力指導,更是讓我感受在高壓的工作下團隊合作的力量,以及工作被認可和受到贊賞的成就感。當然,在我課設(shè)間,還要感謝我同組的同學一直以來對我無怨無悔的鼓勵、支持、關(guān)愛、尊重和信任,在我工作上遇到困難時,是您們幫我抵御挫折,謝謝您們。我是幸運而幸福的,我知足并且義無反顧的在大家的關(guān)愛下堅持自己的信念和理想一路前行。在此向兩位導老師致以崇高的敬意和衷心的感謝!你們淵博的知識、嚴謹治學風范、兢兢業(yè)業(yè)的敬業(yè)精神讓我受益匪淺! 再一次感謝所有關(guān)心我、幫助我的人!參考文獻《數(shù)字電子技術(shù)基礎(chǔ)》閻石 高等教育出版社,《數(shù)字設(shè)計:原理與實踐》(美)Wakerly, 林生譯 機械工程出版社,《EDA技術(shù)與VHDL電路開發(fā)應用實踐》劉欲曉 電子工業(yè)出版社,《電子技術(shù)實驗與課程設(shè)計》畢滿清 機械工業(yè)出版社,《電子設(shè)計自動化快速入門教程》李平 高等教育出版社,《VHDL語言及其應用》付永慶 高等教育出版社,《電子技術(shù)基礎(chǔ)》康華光 高等教育出版社,《VHDL數(shù)字電路設(shè)計教程》Volnei 電子工業(yè)出版社,10.11.12.
點擊復制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1