freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于cpld的彩燈控制器設計畢業(yè)設計-資料下載頁

2025-07-02 07:19本頁面

【導讀】研究工作及取得的研究成果;包含為獲得其他教育機構的學位而使用過的材料;示、驅(qū)動等模塊組成核心控制模塊。在主控模塊設有8個LED發(fā)光二極管,根據(jù)用戶需要可。以編寫各種亮燈模式。設計二種不同時間節(jié)拍,根據(jù)各種亮燈時間的不同需要,在不同時刻。,給出整體設計方案;/硬件編程,仿真,下載驗證;

  

【正文】 elsif clk39。event and clk=39。139。then case state is when s0= state=s1。 when s1= state=s2。 led=10000000。 when s2= state=s3。 led=01000000。 when s3= state=s4。 led=00100000。 when s4= state=s5。 led=00010000。 when s5= state=s6。 led=00001000。 when s6= state=s7。 led=00000100。 when s7= 基于 CPLD 的彩燈控制器設計 16 state=s8。 led=00000010。 when s8= state=s9。 led=00000001。 其作用是:如果 CLR=39。139。則模塊正常進行工作,并且以下四種花型二十九種狀態(tài)00000000100000000100000000100000000100000000100000000100000000100000000100000010000001000001000000100000010000001000000000000000000100000001100000111000001111000111110001111110111111101111111110001000110011001110111011111111 實現(xiàn)順序循環(huán)顯示。如果想改變多種花型的循環(huán)順序,只需修改部分狀態(tài)即可,本程序有很大的靈活性。 顯示控制模塊波形仿真截圖: 圖 顯示控制模塊波形仿真圖 當復位信號有效時彩燈輸出為零,否則,顯示電路在二十九種不同狀態(tài)間轉換。 基于 CPLD 的彩燈控制器設計 17 : 圖 顯示控制電路生成元器件符號 基于 CPLD 的彩燈控制器設計 18 第 4 章 八路彩燈控 制系統(tǒng)的實現(xiàn) 整體功能描述 1,在時序控制電路 metronome 的設計中,利用計數(shù)器計數(shù)達到分頻值時,對計數(shù)器進行清零,同時將輸出信號反向,這就非常簡潔地實現(xiàn)了對輸入基準信號的分頻,并且分頻信號的占空比為 。 2,在顯示控制電 路 output 的設計中,利用狀態(tài)機非常簡潔地實現(xiàn)了四種大花型的循環(huán)變換,同時利用二十九個八位常數(shù)的設計,可非常方便地設置和修改四種大花型。 3,對于頂層程序的設計,若為模塊較多的系統(tǒng),最好使用文本的程序設計方式。但因本系統(tǒng)模塊較少,既可使用文本的程序設計方式,也可以使 用原理圖的設計方式。 彩燈顯示控制電路是整個設計的核心 , 彩燈顯示控制模塊能進行彩燈的圖案控制 ,它負責整個設計的輸出效果即各種彩燈圖案的樣式變化。在電路中以 1 代表燈亮 ,以 0 代表燈滅 ,由 0,1 按不同的規(guī)律組合代表不同的燈光圖案 ,同時使其選擇不同的頻率 ,從而實現(xiàn)多種圖案多種頻率的花樣功能顯示。該程序充分地說明了用 VHDL 設計電路的簡單易修改 ,即可通過適當?shù)馗淖兂绦蛑休敵鲎兞縼砀淖儾薀舻幕ㄐ汀r序控制模塊對燈閃的速度控制有兩種速度 :一是1\4分頻時鐘脈沖 ,二是 1\8 分頻時鐘脈沖。并且還可以通過改 變 CLK 的時鐘輸入信號來產(chǎn)生更多的頻率。最后 ,當各個模塊均完成上述操作之后 ,即可利用QuartusII 的原理圖輸入 ,調(diào)用各個元器件 (底層文件 ) ,以原理圖的形式形成最后的十六路彩燈顯示系統(tǒng) (頂層文件 ) ,并且進行仿真。仿真通過 ,即可下載到指定的 CPLD 芯片里面 ,并進行實際連線 ,進行最后的硬件測試。當然 ,可以將各個模塊所生成的元件符號存放在元件庫中 ,用以被其它人或其它的設計所重復調(diào)用 ,以簡化后面的設計。 設計原理 用 VHDL進行設計 ,首先應該了解 ,VHDL語言一種全方位硬件描述語 言 ,包括系統(tǒng)行為級 ,寄存?zhèn)鬏敿壓瓦壿嬮T級多個設計層次。應充分利用 VHDL“自頂向下” 的設計優(yōu)點以及層次化的設計概層次概念對于設計復雜的數(shù)字系統(tǒng)是非常有用它使得人們可以從簡單的單元入手 ,逐漸構成龐大而復雜的系統(tǒng) 。 基于 CPLD 的彩燈控制器設計 19 根據(jù)系統(tǒng)設計要求可知,整個系統(tǒng)共有三個輸入信號:控制彩燈節(jié)奏快慢的基準時鐘信號 CLK,系統(tǒng)清零信號 CLR ,彩燈節(jié)奏快慢選擇開關 OPT;共有八個輸出信號 LED[7..0],分別用于控制八路彩燈。 據(jù)此,我們可以將整個彩燈控制電路 COTOP 分為兩大部分:時序控制電路metronome 和顯示控 制電路 output。系統(tǒng)的工作原理如下: 時序控制電路 metronome 根據(jù)輸入信號 CLK, CLR , OPT 產(chǎn)生的符合一定要求的、供顯示控制電路 output 使用的控制時鐘信號,而顯示控制電路 output則根據(jù)時序控制電路 metronome 輸入的控制時鐘信號,輸出四種大花型循環(huán)變化的、控制八路彩燈工作的控制信號,這些控制信號加上驅(qū)動電路一起控制彩燈工作。 首先應進行系統(tǒng)模塊的劃分 ,規(guī)定每一模塊的功能以及各個模塊之間的接口。最終設計方案由一個八路彩燈花樣循環(huán)顯示控制器和一個時序控制分模塊組成。時序控制模塊根 據(jù)輸入信號不同頻率的選擇不同的時鐘信號輸送到彩燈循環(huán)顯示控制器 ,從而達到控制彩燈閃爍速度的快慢 ,整個八路彩燈控制系統(tǒng)設計的模塊圖如圖所示。 圖 八路彩燈控制系統(tǒng)設計模塊圖 程序編譯與仿真 頂層模塊設計程序 : library ieee。 use 。 entity cotop is port ( clk: in std_logic。 clr: in std_logic。 基于 CPLD 的彩燈控制器設計 20 opt:in std_logic。 led: out std_logic_vector(7 downto 0))。 八路彩燈輸出 end cotop。 architecture rtl of cotop is ponent metronome is 定義元件:時序控制電路 port( clk: in std_logic。 clr: in std_logic。 opt:in std_logic。 clkout: out std_logic)。 end ponent metronome。 ponent output is 定義元件:顯示電路 port( clk: in std_logic。 clr: in std_logic。 led: out std_logic_vector(7 downto 0))。 end ponent output。 signal clk_tmp: std_logic。 begin u1:metronome port map(clk,clr,opt,clk_tmp)。 例化時序控制模塊 u2:output port map(clk_tmp,clr,led)。 例化顯示電路模塊 end rtl。 基于 CPLD 的彩燈控制器設計 21 八路彩燈控制仿真波形: 圖 八路彩燈控制仿真波形 從圖中可以看出當 OPT 為高電平時彩燈狀態(tài)轉換慢,為低電平時轉換要快,當復位信號有效時,所用輸出都清零。 八路彩燈生成元器件符 號: 圖 八路彩燈生成元器件符號 對于頂層程序的設計,若為模塊較多的系統(tǒng),最好使用文本的程序設計方式。但因本系統(tǒng)模塊較少,既可使用文本的程序設計方式,也可以使用原理圖的設計方式。上段程序的作用是將時序控制電路模塊和顯示控制電路模塊結合起來,實現(xiàn)八路彩燈控制器的設計,又由于本次程序設計的分模塊較少,因此,我們采用了文本設計和原理圖設計兩種方式。 各模塊 VHDL 程序經(jīng)過編譯優(yōu)化后,選擇合適的目標芯片進 行綜合、管腳配置。本電路選用可編程邏輯芯片 Cyclone EP1C3T144C8 由 QuartusII 進行仿真,從仿真波形可以看出,此程序可以實現(xiàn)四種不同花樣彩燈的相互變換,每種花樣 基于 CPLD 的彩燈控制器設計 22 彩燈可以循環(huán)變化。但是如果系統(tǒng)的固有頻率很大,彩燈的閃爍速度非常快,看到的現(xiàn)象是每個花樣的八個彩燈同時被點亮,為了實現(xiàn)絢麗多彩的景象,必須要在程序中加一個分頻進程。 與其它硬件設計方法相比,用 VHDL 進行工程設計的優(yōu)點是多方面的:具有很強的行為描述能力,支持大規(guī)模設計的分解和已有設計的再利用,可讀性好,易于修改和發(fā)現(xiàn)錯誤,可以使用 仿真器對 VHDL 源代碼進行仿真允許設計者不依賴于器件,容易發(fā)現(xiàn)設計中出現(xiàn)的問題,以便及時處理。實現(xiàn)了設計與工藝無關,可移植性好,上市時間快,成本低, ASIC 移植等優(yōu)點。 、管腳分配 圖 管腳分配圖 基于 CPLD 的彩燈控制器設計 23 圖 管腳設置圖 硬件測試 本電路選用可編程邏輯芯片 Cyclone EP1C3T144C8 由 QuartusII 進行 下載 ,從 硬件測試結果 可以看出,此程序可以實現(xiàn) 四 種不同花樣彩 燈的相互變換,每種花樣彩燈可以循環(huán)變化。但是如果系統(tǒng)的固有頻率很大,彩燈的閃爍速度非???,看到的現(xiàn)象是每個花樣的 八 個彩燈同時被點亮,為了實現(xiàn)絢麗多彩的景象,必須要在程序中加一個分頻進程 。 時序控制模塊實現(xiàn)的功能是產(chǎn)生 1\4和1\8 的時鐘信號。顯示控制模塊中實現(xiàn)的四種花型分別為:0000000010000000010000000010000000010000000010000000010000000010000000010000001000000100000100000010000001000000100000000
點擊復制文檔內(nèi)容
研究報告相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1