freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的spwm設(shè)計(jì)方案-資料下載頁

2025-05-12 23:29本頁面
  

【正文】 if clk_tri39。event and clk_tri=39。139。 then if rst=39。039。 then out_tri_tem = 00000000。 else out_tri_tem = out_tri_tem + 1。 end if。 end if。 end process tri。 out_sin = out_sin_tem。 out_tri = out_tri_tem。end architecture archi_add_gen。 相位累加器VHDL代碼library ieee。use 。use 。use 。entity pha_adj isport( pha_word:in std_logic_vector(7 downto 0)。 pha_en: in std_logic。 clk: in std_logic。 address_in:in std_logic_vector(7 downto 0)。 address_out:out std_logic_vector(7 downto 0)。 rst: in std_logic)。end entity pha_adj。architecture archi_phaadj of pha_adj issignal pha_word_tmp:std_logic_vector(7 downto 0)。begin process(rst,clk,pha_en) begin if clk39。event and clk=39。139。 then if rst=39。039。 then pha_word_tmp=00000000。 elsif pha_en=39。139。 then pha_word_tmp = pha_word。 else address_out = address_in + pha_word_tmp。 end if。 end if。 end process。end architecture archi_phaadj。比較器VHDL代碼library ieee。use 。use 。use 。entity pare isport( IN1:in std_logic_vector(7 downto 0)。 IN2:in std_logic_vector(7 downto 0)。 CLK:in std_logic。 Q: out std_logic)。end entity pare。architecture archi_p of pare isbegin process(CLK) begin if CLK39。event and CLK=39。139。 then if IN1 IN2 then Q = 39。139。 else Q = 39。039。 end if。 end if。 end process。end architecture archi_p。 mif文件生成c代碼include include define PI define DEPTH 256 define WIDTH 8 int main(void){ int i,temp。 float s。 FILE *fp。 fp = fopen(,w)。 if(NULL==fp) printf(Can not creat file!\r\n)。 else { printf(File created successfully!\n)。 fprintf(fp,DEPTH = %d。\n,DEPTH)。 fprintf(fp,WIDTH = %d。\n,WIDTH)。 fprintf(fp,ADDRESS_RADIX = HEX。\n)。 fprintf(fp,DATA_RADIX = HEX。\n)。 fprintf(fp,CONTENT\n)。 fprintf(fp,BEGIN\n)。 for(i=0。iDEPTH。i++) { if (i=DEPTH/2) temp =2*i。 else temp=5122*i。 fprintf(fp,%x\t:\t%x。\n,i,temp)。 } fprintf(fp,END。\n29
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1