freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl六層電梯控制系統(tǒng)設(shè)計(jì)說明書-資料下載頁

2025-05-07 19:18本頁面
  

【正文】 是 否 空 閑是 否 處 于 上 行是 否 處 于 下 行結(jié) 束電 梯 復(fù) 位 程 序開 關(guān) 門 程 序是 否 上 行 召 換 是 否 下 行 召 換定 上 行 指 示 定 下 行 指 示執(zhí) 行 運(yùn) 行 程 序 段執(zhí) 行 運(yùn) 行 程 序 段否是否 否否否是是是是否是是 圖 電梯運(yùn)行控制流程圖 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 19 六層電梯控制器的設(shè)計(jì)思路 電梯控制器運(yùn)用狀態(tài)機(jī)的設(shè)計(jì)方法,思路比較清晰??梢詫㈦娞莸却拿棵腌娨约伴_門、關(guān)門都看成一個獨(dú)立的狀態(tài) [2]。由于電梯又是每秒上升或下降一層,所以就可以通過一個統(tǒng)一的 1秒為周期的時鐘來觸發(fā)狀態(tài)機(jī)。根據(jù)電梯的實(shí)際工作情況,可以把狀態(tài)機(jī)設(shè)置 7個狀態(tài),分別是 “ 電梯停留在第 1 層 ” 、 “ 開門 ” 、 “ 關(guān)門 ” 、 “ 開門等待 4 秒 ” 、“ 上升 ” 、 “ 下降 ” 和 “ 停止?fàn)顟B(tài) ” 。各個狀態(tài)之間的轉(zhuǎn)換條件可由上面的設(shè)計(jì)要求所決定。各狀態(tài)機(jī)之間轉(zhuǎn)換圖如圖 : s t o p o n 1 d o w n w a i t 4d o o r o p e n s t o p d o o r c l o s eu pd o w nR e s e t = 0電 梯 按 鈕 觸 發(fā)電 梯 按 鈕 觸 發(fā) 圖 狀 態(tài)機(jī)轉(zhuǎn)換圖 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 20 第六章 程序設(shè)計(jì)及調(diào)試 程序流程分析 電梯的運(yùn)行規(guī)則確立后,需對整個控制程序的設(shè)計(jì)作一個流程規(guī)范。對程序進(jìn)行模塊化構(gòu)思。根據(jù) VHDL 語言的規(guī)則,程序必須由最基本的實(shí)體和結(jié)構(gòu)體構(gòu)成。實(shí)體對控制器的端口進(jìn)行定義,結(jié)構(gòu)體對各端口的行為進(jìn)行描述。因此程序運(yùn)行需經(jīng)過以下流程: VHDL庫調(diào)用;確立控制器的端口及相關(guān)的寄存器;根據(jù)電梯運(yùn)行規(guī)則,設(shè)計(jì)相關(guān)運(yùn)行描述;對電梯內(nèi)外信號進(jìn)行處理。 具體流程圖如圖 。 程 序 開 始V H D L 庫 調(diào) 用設(shè) 置 控 制 器 的 端 口設(shè) 置 相 關(guān) 的 寄 存 器電 梯 運(yùn) 行 規(guī) 則 描 述電 梯 信 號 處 理程 序 結(jié) 果 圖 程序設(shè)計(jì)說明 、寄存器設(shè)計(jì)說 明 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 21 ( 1)由功能要求得到本程序設(shè)計(jì)的端口必須包括: 輸入端口:時鐘( clk,頻率為 2Hz)、超載( full)、關(guān)門中斷( deng)、提前關(guān)門( quick)、清除報(bào)警( clr)、電梯外人的上升請求信號( c_u1,c_u2,c_u3, ,c_u4,c_u5)、電梯外人的下降請求信號( c_d2,c_d3,c_d4,c_d5,c_d6)、電梯內(nèi)人的請求信號( d1,d2,d3,d4,d5,d6)、到達(dá)樓層信號( g1,g2,g3,g4,g5,g6)。 輸出端口:電梯門控制信號( door)、電梯所在樓層顯示( led)電梯 外人上升請求信號顯示( led_c_u)、電梯外人下降請求信號顯示( led_c_d)、電梯內(nèi)請求信號顯示( led_d)、看門狗報(bào)警信號( wahaha)、電梯運(yùn)動方向顯示( ud)、超載警告信號( alarm)、電機(jī)控制信號( up,down)。 其分布如圖所示。 圖 ( 2)程序要求的寄存器(中間信號)包括: 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 22 電梯內(nèi)人請求信號寄存信號( d11,d22,d33,d44,d55,d66)、電梯外人上升請求信號寄存信號( c_u11,c_u22,c_u33,c_u44,c_u55)、電梯外人下降請求信號寄存信 號( c_d22,c_d33,c_d44,c_d55,c_d66)、 分頻信號( q)、關(guān)門延時計(jì)數(shù)器( q1)、看門狗計(jì)數(shù)器( q2)、電梯內(nèi)外請求信號寄存器( dd,cc_u,cc_d,dd_cc)、開門使能信號( opendoor)、電梯運(yùn)動方向信號寄存器( updown)、預(yù)備上升、預(yù)備下降預(yù)操作使能信號( en_up,en_dw)。 本程序由三個基本模塊組成,包括調(diào)用 VHDL庫模塊、實(shí)體設(shè)計(jì)模塊和結(jié)構(gòu)體設(shè)計(jì)模塊。而在結(jié)構(gòu)體模塊中又內(nèi)嵌有進(jìn)程執(zhí)行單元。 ( 1) 調(diào)用 VHDL庫 使用 library語句,本程序應(yīng)用了 VHDL 庫中的“通用 ieee 庫”和“標(biāo)準(zhǔn) std庫” 。 library ieee。 use 。 use 。 use 。 ( 2) entity 實(shí)體設(shè)計(jì)模塊 entity dianti is port ( clk : in std_logic。時鐘信號(頻率為 2Hz) full,deng,quick,clr : in std_logic。 超載、關(guān)門中斷、提前關(guān)門、清除報(bào)警信號 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic。 電梯外人的上升請求信號 c_d2,c_d3,c_d4,c_d5,c_d6: in std_logic。 電梯外人的下降請求信號 d1,d2,d3,d4,d5,d6 : in std_logic。電梯內(nèi)人的請求信號 g1,g2,g3,g4,g5,g6 : in std_logic。到達(dá)樓層信號 door : out std_logic_vector(1 downto 0)。電梯門控制信號 led : out std_logic_vector(6 downto 0)。電梯所在樓層顯示(數(shù)碼管顯示) led_c_u:out std_logic_vector(5 downto 0)。電梯外人上升請求信號顯示 led_c_d:out std_logic_vector(5 downto 0)。電梯外人下降請求信號顯示 led_d : out std_logic_vector(5 downto 0)。電梯內(nèi)請求信號顯示 wahaha : out std_logic??撮T狗報(bào)警信號 ud,alarm : out std_logic。電梯運(yùn)動方向顯示,超載警告信號 up,down : out std_logic )。電機(jī)控制信號和電梯運(yùn)動 end dianti。 ( 3) archi 結(jié)構(gòu)體設(shè)計(jì)模塊和 process 進(jìn)程執(zhí)行單元 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 23 architecture behav of dianti is signal d11,d22,d33,d44,d55,d66:std_logic。 電梯內(nèi)人請求信號寄存信號 signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic。電梯外人上升請求信號寄存信號 signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic。電梯外人下降請求信號寄存信號 signal q:integer range 0 to 1。分頻信號 signal q1:integer range 0 to 6。關(guān)門延時計(jì)數(shù)器 signal q2:integer range 0 to 9。看門狗計(jì)數(shù)器 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0)。電梯內(nèi)外請求信號寄存器 signal opendoor:std_logic。開門使能信號 signal updown:std_logic。電梯運(yùn)動方向信號寄存器 signal en_up,en_dw:std_logic。預(yù)備上升、預(yù)備下降預(yù)操作使能信號 begin process(clk) begin 。(進(jìn)程 語句具體看附錄) end behav。 上文已說明了構(gòu)成 VHDL 程序的兩大部分 — 實(shí)體和結(jié)構(gòu)體的相關(guān)語句。在這些語句里,賦值語句占了相當(dāng)一部分。在 VHDL 語言里,賦值符號一般都是“ =” 符號,具體形式如下: begin if clk39。event and clk=39。139。 then if clr=39。139。 then q1=0。q2=0。wahaha=39。039。 elsif full=39。139。 then alarm=39。139。 q1=0。 if q1=3 then door=10。 else door=00。 end if。 。 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 24 VHDL 語言也具有與一般編程語言相同的一些語句邏輯結(jié)構(gòu),如上述中的“ if?then? elsif? then?;”等。這是 VHDL中的順序語句,與我們常見的 C語言中的 if作為條件語句不同。 在結(jié)構(gòu)體中對電梯的運(yùn)行行為作出描述,其中電梯處于二樓?五樓情況復(fù)雜些,以下給出二樓情況的具體說明。 elsif g2=39。139。 then led=0010010。 電梯到達(dá) 2樓,數(shù)碼管顯示 2 if updown=39。139。 then 電梯前一運(yùn)動狀態(tài)位上升 if d22=39。139。 or c_u22=39。139。 then d22=39。039。 c_u22=39。039。 opendoor=39。139。 有當(dāng)前層的請求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc00000011 then en_up=39。139。en_dw=39。039。 opendoor=39。039。 有上升請求,則電梯進(jìn)入預(yù)備上升狀態(tài) elsif dd_cc00000010 then en_dw=39。139。en_up=39。039。 opendoor=39。039。有下降請求,則電梯進(jìn)入預(yù)備下降狀態(tài) end if。 電梯前一運(yùn)動狀態(tài)為下降 elsif d22=39。139。 or c_d22=39。139。 then d22=39。039。 c_d22=39。039。opendoor=39。139。 有當(dāng)前層的請求,則電梯進(jìn)入開門狀態(tài) elsif dd_cc00000010 then en_dw=39。139。en_up=39。039。 opendoor=39。039。 有下降請求,則電梯進(jìn)入預(yù)備下降狀態(tài) elsif dd_cc00000011 then en_up=39。139。en_dw=39。039。 opendoor=39。039。 有上升請求,則電梯進(jìn)入預(yù)備上升狀態(tài) end if。 在上述語句中的“ elsif g2=‘ 1’ then led=“ 0010010;”, led 的賦值之所以為“ 0010010”是根據(jù)共陽極七段數(shù)字顯示器的發(fā)光段排列的。 可發(fā)光段 a、 b、 c、 e、 g形成一個 2字。 以此類推,在一樓時 led 賦值為“ 100111” ,三樓時為“ 0000110”,四樓時為?? 在進(jìn)程執(zhí)行單元里,對電梯在樓層時的操作情況作出了描述,例如:開門、關(guān)門延時、超載報(bào)警、故障報(bào)警以及電梯內(nèi)的請求信號處理,具體說明給出如下: process(clk) begin if clk39。event and clk=39。139。 then if clr=39。139。 then q1=0。q2=0。wahaha=39。039。清除故障報(bào)警 elsif full=39。139。 then alarm=39。139。 q1=0。超載報(bào)警 湖南工學(xué)院畢業(yè)設(shè)計(jì)(論文) 25 if q1=3 then door=10。 else door=00。 end if。 elsif q=1 then q=0。alarm=39。039。 if q2=3 then wahaha=39。139。 故障報(bào)警 else if opendoor=39。139。 then door=10。q1=0。q2=0。up=39。039。down=39。039。開門操作 elsif en_up=39。139。 then 上升預(yù)操作 if deng=39。139。 then door=10。q1=0。q2=q2+1。關(guān)門中斷 elsif quick=39。139。 then q1=3。提前關(guān)門 elsif q1=6 then door=00。updown=39。139。
點(diǎn)擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1