freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計(jì)數(shù)字秒表有擴(kuò)展-資料下載頁(yè)

2025-08-12 20:59本頁(yè)面

【導(dǎo)讀】它主要由顯示譯碼器、分頻器、十進(jìn)制計(jì)數(shù)器、報(bào)警器和計(jì)數(shù)器組成。相對(duì)應(yīng),6個(gè)計(jì)數(shù)器的輸出全都為BCD碼輸出,這樣便于同顯示譯碼器的連接。用層次設(shè)計(jì)方法和VHDL語(yǔ)言,完成硬件設(shè)計(jì)設(shè)計(jì)和仿真。10進(jìn)制計(jì)數(shù)器:用來(lái)分別對(duì)百分之一秒、十分之一秒、秒和分進(jìn)行計(jì)數(shù);6進(jìn)制計(jì)數(shù)器:用來(lái)分別對(duì)十秒和十分進(jìn)行計(jì)數(shù);按照規(guī)范寫(xiě)出論文,要求字?jǐn)?shù)在4000字以上,并進(jìn)行答辯。EDA技術(shù)的出現(xiàn),極大地提高了電路設(shè)計(jì)的效率和可操作性,減輕了。在原理圖設(shè)計(jì)階段,可以使用EDA中的仿真工具論證設(shè)計(jì)的正。21世紀(jì)將是EDA技術(shù)的高速發(fā)展期,EDA技術(shù)將是對(duì)21世紀(jì)產(chǎn)生重大。利用EDA工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),現(xiàn)在對(duì)EDA的概念或范疇用得很寬。化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。從設(shè)計(jì)、性能測(cè)試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。

  

【正文】 。 entity clocks is port (clk1:in std_logic。 —— 外接時(shí)鐘信號(hào) 5MHz enn1:in std_logic。 —— 表一使能信號(hào) enn2:in std_logic。 —— 表二使能信號(hào) rst1:in std_logic。 —— 復(fù)位信號(hào) rea :in std_logic。 —— 讀取信號(hào) set1:out std_logic_vector (23 downto 0)) 。 end entity。 architecture one of miaobiao2 is ponent choose is —— 調(diào)用選擇電路聲明語(yǔ)句 port ( lk: in std_logic。 s1: in std_logic_vector(23 downto 0)。 s2: in std_logic_vector(23 downto 0)。 o : out std_logic_vector(23 downto 0) )。 end ponent。 ponent clock is —— 調(diào)用秒表聲明語(yǔ)句 port(clkk:in std_logic。 enn:in std_logic。 rstt:in std_logic。 set:out std_logic_vector (23 downto 0) )。 end ponent 。 signal a,b,c,d: std_logic_vector(23 downto 0)。 begin —— 例化語(yǔ)句 東北石油大學(xué)硬件課程設(shè)計(jì) 17 u1: clock port map (clkk=clk1,enn=enn1,rstt=rst1,set=a)。 u3: clock port map (clkk=clk1,enn=enn2,rstt=rst1,set=c)。 u5: choose port map (lk=rea, s1=a,s2=c,o=set1)。 end architecture one。 圖 310 可 多次記錄秒表 RTL 圖 圖 311 可多次記錄秒表時(shí)序仿真圖 東北石油大學(xué)硬件課程設(shè)計(jì) 18 電子秒表下載實(shí)現(xiàn) 新建一個(gè)工程,工程名為 clocks,在工程中建立多個(gè) VHDL 文件包括 :、 、 、 和 。 編譯頂層文件,對(duì)編譯的結(jié)果進(jìn)行仿真,引腳分配,下載到硬件中等等。 VHDL 語(yǔ)言是否正確無(wú)誤 ,采用功能仿真。 ,并對(duì)輸入端進(jìn)行賦值 ,并運(yùn)行得到如圖 311 的波形圖。 ,如圖 312 所示。 ( KX_DN 系列)中并運(yùn)行,如圖 313 所示。 圖 312 引腳分配圖 東北石油大學(xué)硬件課程設(shè)計(jì) 19 圖 313 程序下載到芯片效果圖 東北石油大學(xué)硬件課程設(shè)計(jì) 20 結(jié) 論 通過(guò)此次課程設(shè)計(jì),讓我對(duì) EDA 這門(mén)技術(shù)有了更深的體會(huì),并更好的學(xué)會(huì)了使用 QuartusⅡ軟件進(jìn)行硬件設(shè)計(jì)。 在編寫(xiě)程序的過(guò)程中,遇到了很多問(wèn)題,使我發(fā)現(xiàn)自己以前學(xué)習(xí)上存在的不足。通過(guò)與同學(xué)探討和請(qǐng)教老師,終于把問(wèn)題都解決了,并加深了對(duì)數(shù)字時(shí)鐘原理和設(shè)計(jì)思路的了解。同時(shí)我也掌握 了做課程設(shè)計(jì)的一般流程,為以后的電子設(shè)計(jì)這塊積累了一定的經(jīng)驗(yàn),為以后從事相關(guān)工作有一些幫助。最終解決了問(wèn)題,攥寫(xiě)成報(bào)告。 通過(guò)對(duì)設(shè)計(jì)對(duì)實(shí)現(xiàn)和對(duì)報(bào)告對(duì)撰寫(xiě),深深體會(huì)到了 VHDL 語(yǔ)言和 EDA 技術(shù)的一些技巧和設(shè)計(jì)思想,在完成設(shè)計(jì)的過(guò)程中,應(yīng)該具有很清晰地思路,才可以使電路更完美和簡(jiǎn)便,要敢想敢做但是不應(yīng)該有投機(jī)取巧的心理。在完成每一步的時(shí)候都有意想不到的收獲也有可能導(dǎo)致錯(cuò)誤,所以在設(shè)計(jì)對(duì)過(guò)程中要集中精神。在寫(xiě)報(bào)告的過(guò)程中,更加凸顯了細(xì)心二字。不可自認(rèn)為完美,必須按照格式要求來(lái)撰寫(xiě)自己的報(bào)告,所以必須做到足夠的精確 。 利用 EDA 工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開(kāi)始設(shè)計(jì)電子系統(tǒng),大量工作可以通過(guò)計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)版圖的整個(gè)過(guò)程的計(jì)算機(jī)上自動(dòng)處理完成。在進(jìn)行設(shè)計(jì)時(shí)并不束縛設(shè)計(jì)者的想象力,這使得自學(xué)、擴(kuò)展也可以很容易實(shí)現(xiàn) 。在設(shè)計(jì)中充分的認(rèn)識(shí)到 EDA 課程對(duì)硬件設(shè)計(jì)的重要性,若把本門(mén)課程學(xué)好、學(xué)精,對(duì)硬件設(shè)計(jì)將有很大對(duì)幫助。以后若有機(jī)會(huì)我將會(huì)利用更多時(shí)間來(lái)學(xué)習(xí) EDA 技術(shù)、更加深入的學(xué)習(xí) EDA 技術(shù)。 EDA 技術(shù)以其獨(dú)有的優(yōu)點(diǎn)和應(yīng)用范圍有著非常好的發(fā)展前景,是近幾年電子工業(yè)的發(fā)展趨向,中國(guó) 的 EDA 行業(yè)發(fā)展十分迅速,有著很大的潛力。所以我們學(xué)好這門(mén)課程是十分必要的,我們不應(yīng)該僅僅拘泥于一門(mén)課程的學(xué)習(xí),要結(jié)合各學(xué)科的連接點(diǎn),把我們的知識(shí)串聯(lián)起來(lái)。為我們的未來(lái)做好知識(shí)儲(chǔ)備。 以上就我關(guān)于這次課程設(shè)計(jì)的想法,在以后,我會(huì)用更多的時(shí)間去了解 EDA。并且提高自己的知識(shí)水平。 東北石油大學(xué)硬件課程設(shè)計(jì) 21 參考文獻(xiàn) [1] 潘松,黃繼業(yè) .EDA 技術(shù)使用教程(第三版) .北京:科學(xué)出版社, 2020 [2] 蔣小燕,俞偉鈞,張立臣 . EDA 技術(shù)及 :東南大學(xué)出版社, 2020 [3] 鄭家龍,王小海,章安元 .集成電子技術(shù)基礎(chǔ)教 程 .北京:高等教育出版社 2020 [4] 郭勇 .EDA 技術(shù) .北京:高等教育出版社, 2020 [5] 盧杰,賴毅 .VHDL 與數(shù)字電路設(shè)計(jì) .北京:科學(xué)出版社, 2020 [6] 趙全利,秦春斌 .EDA 技術(shù)及應(yīng)用教程 .北京:機(jī)械工業(yè)出版社, 2020 [7] 段玉生,王艷丹,何麗靜 .電工電子技術(shù)與 EDA 基礎(chǔ) .北京:清華大學(xué)出版社,2020 [8] 孫富明,李笑盈 .基于多種 EDA 工具的 FPGA 設(shè)計(jì),電子技術(shù)應(yīng)用, 2020 年1 月,第 1 期 [9] 李國(guó)麗,朱維勇 .電子技術(shù)實(shí)驗(yàn)指導(dǎo)書(shū) .合肥:中國(guó)科技大學(xué)出版社, 2020 [10] Altera Corporation, “QuartusII Help Version ” xxxxxxxxx 大學(xué)課程設(shè)計(jì)成績(jī)?cè)u(píng)價(jià)表 課程名稱 硬件課程設(shè)計(jì) 題目名稱 電子秒表設(shè)計(jì) 學(xué)生姓名 學(xué)號(hào) 指導(dǎo)教師姓名 職稱 副教授 序號(hào) 評(píng)價(jià)項(xiàng)目 指 標(biāo)(優(yōu)秀) 滿分 評(píng)分 1 選題難度 選題難度較高,或者對(duì)原題目進(jìn)行了相當(dāng)程度的改進(jìn)。 10 2 工作量、工作態(tài)度和出勤率 工作量飽滿,工作努力,遵守紀(jì)律,出勤率高,工作作風(fēng)嚴(yán)謹(jǐn),善于與他人合作。 10 3 課 程設(shè)計(jì)質(zhì)量 按期圓滿的完成了規(guī)定的任務(wù),方案設(shè)計(jì)合理,思考問(wèn)題全面,系統(tǒng)功能完善。 35 4 報(bào)告質(zhì)量 問(wèn)題論述思路清晰,結(jié)構(gòu)嚴(yán)謹(jǐn),文理通順,撰寫(xiě)規(guī)范,圖表完備正確。 30 5 回答問(wèn)題 在進(jìn)行課程設(shè)計(jì)程序系統(tǒng)檢查時(shí),能正確回答指導(dǎo)教師所提出的問(wèn)題。 10 6 創(chuàng)新(加分項(xiàng)) 工作中有創(chuàng)新意識(shí),對(duì)前人工作有改進(jìn)或有應(yīng)用價(jià)值。在進(jìn)行系統(tǒng)檢查時(shí)能對(duì)創(chuàng)新性進(jìn)行說(shuō)明,并在報(bào)告中有相應(yīng)的論述。 +5 總分 評(píng)語(yǔ): 指導(dǎo)教師: 年 月 日
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1