freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的異步收發(fā)器程序設(shè)計(參考版)

2025-06-21 14:28本頁面
  

【正文】 6..參考文獻(xiàn)[1] 1].鄭亞民,【M】.北京:國防工業(yè)出版社,[2] 潘松,黃繼業(yè). EDA技術(shù)實驗教程(第二版)【M】.北京:科學(xué)出版社,2005[3] 江國強(qiáng). 數(shù)字系統(tǒng)的VHDL設(shè)計【M】.北京:機(jī)械工業(yè)出版社,[4] 李莉,路而紅. 電子設(shè)計自動化(EDA)課程設(shè)計與項目實例【M】.北京:中國電力出版社。在本次的設(shè)計中通過對波特率發(fā)生器、發(fā)送器和接收器模塊的設(shè)計與仿真,能實現(xiàn)通用異步收發(fā)器總模塊。HDL語言,結(jié)合有限狀態(tài)機(jī)的設(shè)計方法實現(xiàn)了UART的功能,將其核心功能集成到FPGA上,使整體設(shè)計緊湊、小巧,實現(xiàn)的UART功能穩(wěn)定、可靠。 UART接收器仿真圖形5.結(jié)語UATR是廣泛使用的串行數(shù)據(jù)通信電路,因其要求的傳輸線少,可靠性高,傳輸距離遠(yuǎn),所以系統(tǒng)間互聯(lián)常采用異步串行通信接口方式。end process。 end case。 state=r_start。139。 state=r_wait。 when r_sample=rbufs(rt):=rxd_sync。 state=r_wait。 end if。 if rt=framlenr then state=r_stop。 end if。 end if。 else count:=count+1。 then if count=0100 then state=r_wait。 when r_center= 狀態(tài)2,求出每位的中點 if rxd_sync=39。039。 else state=r_start。039。 then state=r_center。 復(fù)位 elsif rising_edge(bclkr) then case state is when r_start= 狀態(tài)1,等待起始位 if rxd_sync=39。 then state=r_start。begin if resetr=39。 定義中間變量variable rt:integer:=0。end process。139。039。039。signal rxd_sync:std_logic。architecture Behavioral of reciever istype states is (r_start,r_center,r_wait,r_sample,r_stop)。 rbuf:out std_logic_vector(7 downto 0))。 Port (bclkr,resetr,rxdr:in std_logic。use 。use 。說明:系統(tǒng)由五個狀態(tài)(r_start,r_center,r_wait,r_sample,r_stop)和兩個進(jìn)程構(gòu)成最后修改日期:。 UART接收器的接收狀態(tài)圖 UART接收器程序設(shè)計文件名:。 UART發(fā)送器程序仿真 UART接收器只要每隔16個bclk周期輸出1個數(shù)據(jù)即可,次序遵循第1位是起始位,第8位是停止位。end process。 end if。 when others=state=x_idle。 state=x_stop。 txds:=39。139。 end if。 else xt16:=xt16。 then state=x_idle。 狀態(tài)4,將待發(fā)數(shù)據(jù)進(jìn)行并串轉(zhuǎn)換 when x_stop= 狀態(tài)5,停止位發(fā)送狀態(tài) if xt16=01111 then if xmit_cmd_p=39。 xbitt:=xbitt+1。 end if。 else xt16:=xt16+1。 else state=x_shift。xbitt:=0。 end if。039。 else xt16:=xt16+1。 when x_start= 狀態(tài)2,發(fā)送信號至起始位 if xt16=01111 then state=x_wait。 else state=x_idle。 txd_done=39。139。139。039。 then state=x_idle。begin if resett=39。 定義中間變量variable xbitt:integer:=0。signal tt:integer:=0。architecture Behavioral of transfer istype states is (x_idle, x_start, x
點擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1