freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的計數(shù)器的程序設(shè)設(shè)計(參考版)

2024-09-02 10:21本頁面
  

【正文】 參考文獻[1]褚振勇. FPGA設(shè)計及應用(第三版)[M].,4[2][M].北京:,1[3](第一版)[M],北京:清華大學出版社,2005[4](第一版)[M]北京:電子工業(yè)出版社,2003年[5](第二版)[M],北京:科學出版社,2005年[6][J],電氣電子教學學報,2006年第四期[7][J],實驗科學與技術(shù),2005年第一期[8][M].北京:科學出版社,2005 [9]王金明.數(shù)字系統(tǒng)設(shè)計與Verilog HDL [M].北京:電子工業(yè)出版社,2009附 錄。這次課程設(shè)計,很重要的一部分就是quartus2的運用,為此學習了程序的基本操作,對于一般的設(shè)計、編譯、仿真能夠熟練掌握。作為一個電子信息類專業(yè)的學生,F(xiàn)PGA是我們重要的課程,是我們將來從事電子設(shè)計的有力工具。end。u7: led_controller port map(d=h(15 downto 12),a=leds(27 downto 21))。u5: led_controller port map(d=h(7 downto 4),a=leds(13 downto 7))。u3: reg16b port map(load=clk2,din=b(15 downto 0),dout=h(15 downto 0))。beginu1: DVF port map(clk=clk1,DD=din,fout=y)。signal b,h:std_logic_vector(15 downto 0)。end ponent。ponent led_controllerport(d:in std_logic_vector(3 downto 0)。 dout: out std_logic_vector(15 downto 0))。ponent reg16b port(load: in std_logic。 d:out std_logic_vector(15 downto 0))。 fout: out std_logic。architecture one of cntt isponent DVF port ( clk:in std_logic。 din: in std_logic_vector(7 downto 0)。 clk1,clk2:in std_logic。use 。use 。library ieee。第四,芯片選擇更加靈活。 第三,設(shè)計規(guī)模大大提高。目前的電子產(chǎn)品正向模塊化發(fā)展,所謂模塊化就是對以往設(shè)計成果進行修改,組合和再利用,產(chǎn)生全新的或派生設(shè)計,而自頂向下設(shè)計方法的功能描述可與芯片結(jié)構(gòu)無關(guān)。首先,由于功能描述可完全獨立于芯片結(jié)構(gòu),在設(shè)計的最初階段,設(shè)計師可不受芯片結(jié)構(gòu)的約束,集中精力進行產(chǎn)品設(shè)計,避免了傳統(tǒng)設(shè)計方法所帶來的重新再設(shè)計風險,大大縮短了設(shè)計周期。布局布線結(jié)果還可反標回同一仿真器,進行包括功能和時序的后驗證,以保證布局布線所帶來的門延時和線延時不會影響設(shè)計的性能。end。end case。when 1111= a= 1110001。when 1101= a= 1011110。when 1011= a= 1111100。when 1001= a= 1101111。when 0111= a= 0000111。when 0101= a= 1101101。when 0011= a= 1001111。when 0001= a= 0000110。end led_controller。entity led_controller isport(d:in std_logic_vector(3 downto 0)。use ??梢娛褂枚鄠€LED數(shù)碼管可以大大擴展顯示的信息量。以4個LED數(shù)碼管并列使用的情況為例。如:顯示一個“2”字,那么應當是a亮b亮g亮e亮d亮f不亮c不亮。這些段分別由字母a,b,c,d,e,f,g來表示。因此,這種數(shù)碼管有時也被稱為8段LED數(shù)碼管顯示器。7段共陽極LED數(shù)碼管是由7個條形發(fā)光二極管和一個小數(shù)點位構(gòu)成,其引腳配置,如圖所示,其內(nèi)部結(jié)構(gòu),如圖所示。在電器特別是家電領(lǐng)域應用極為廣泛,如顯示屏、空調(diào)、熱水器、冰箱等等。數(shù)碼管數(shù)碼管的一種是半導體發(fā)光器件,數(shù)碼管可分為七段數(shù)碼管和八段數(shù)碼管,區(qū)別在于八段數(shù)碼管比七段數(shù)碼管多一個發(fā)光二極管單元,其基本單元是發(fā)光二極管。目前,最常用的是LED數(shù)碼管顯示。圖5 鎖存器波形 顯示部分設(shè)計 七段數(shù)碼管顯示原理對于人機交互式單片機系統(tǒng)來說,不僅需要響應用戶輸入,同時也需要將一些測控信息輸出顯示。 end process。then dout=din。event and load=39。end reg16b。 din: in std_logic_vector(15 downto 0)。use 。 16位鎖存器源程序及其仿真波形library ieee。而處理器在處理完后可以有更多的時間來執(zhí)行其他的任務。這樣在數(shù)碼管的顯示內(nèi)容不變之前,處理器的處理時間和IO引腳便可以釋放。鎖存器的使用可以大大的緩解處理器在這方面的壓力。在人類能夠接受的刷新頻率之內(nèi),大概每三十毫秒就要刷新一次。在LED和數(shù)碼管顯示方面,要維持一個數(shù)據(jù)的顯示,往往要持續(xù)的快速的刷新。 鎖存器設(shè)計 鎖存器及其應用所謂鎖存器,就是輸出端的狀態(tài)不會隨輸入端的狀態(tài)變化而變化,僅在有鎖存信號時輸入的狀態(tài)被保存到輸出,直到下一個鎖存信號到來時才改變。u4:t10 port map(clk=e(2),rst=rst,ena=ena,cout=e(3),outy=d(15 downto 12))。u2:t10 port map(clk=e(0),rst=rst,ena=ena,cout=e(1),ou
點擊復制文檔內(nèi)容
高考資料相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1