freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字鐘實驗教案(20xx-10)-資料下載頁

2024-12-08 09:45本頁面
  

【正文】 ,in5,in6: in std_logic_vector(3 downto 0)。 data:out std_logic_vector(3 downto 0)。 sel: out std_logic_vector(2 downto 0))。 end m6_1scan。 architecture t1 of m6_1scan is signal count: std_logic_vector(2 downto 0)。 begin 顯示譯碼 VHDL程序 library ieee。 use 。 entity clk7 is port (data: in std_logic_vector(3 downto 0)。 doute: out std_logic_vector(6 downto 0))。 end clk7。 architecture behave of clk7 is begin 四、軟件開發(fā)環(huán)境 MAXPLUS II ? 創(chuàng)建新目錄“ E:\XX‖ ? 運行 MAXPLUS II軟件 建立 VHDL新文件 ? 打開 Altera MAX+plus軟件后,首先選擇“ File‖中的“ New‖, 建立一個新的 VHDL文件; 選擇待編輯的文件類型 ? 編輯 VHDL源程序選擇“ Text Editor file‖ 輸入源程序 將源程序存為 VHDL文件格式 ? 選擇“ File?Save As… ‖, 出現(xiàn)右邊窗口 ? 點擊“ Drivers:‖, 選擇“ E‖驅(qū)動器 ? 點擊“ Directories:‖,選擇“ XX‖目錄 ? 點擊“ Automatic Extension‖, 選擇“ .vhd‖ ? 在“ File Name:‖后輸入文件名“ ‖ ? 點擊“ OK‖按扭,即可保存寫好的 VHDL語言程序 建立并輸入其他 VHDL源文件 ? 按照上述方法,依次輸入并建立各個 VHDL源程序,得到下列所示各個文件。 設(shè)置 VHDL文件為當(dāng)前工程 ? 選擇“ File?Project?Set Project to Current File‖ 編譯 VHDL源文件 ? 點擊“ MAX+plus II?Compiler?Start‖進(jìn)行編譯 ? 如果警告和錯誤不為 0,要改正錯誤直至全部正確 建立仿真文件 ? 選擇“ File?New‖, 建立一個新的仿真文件 建立仿真文件 ? 選擇“ Waveform Editor file‖, 并選擇文件擴展名為“ .scf‖, 得到仿真波形窗口 添加仿真波形名稱 ? 點擊“ Node?Enter Nodes from SNF… ‖, 出現(xiàn)下面窗口 ? 點擊右上方“ List‖, 左邊窗口顯示全部信號名稱,將待仿真的信號添加到右邊窗口 ? 點擊“ OK‖。 設(shè)置 仿真波形 文件為當(dāng)前工程 ? 選擇“ File?Project?Set Project to Current File‖ ? 選擇正在使用的“驅(qū)動器 ?目錄”,輸入文件名“ ‖ ? 點擊“ OK‖ 編輯輸入信號 ? 點擊輸入信號名稱,利用左邊工具欄編輯輸入的激勵信號 仿真 ? 點擊“ MAX+plus II?Simulator?Start‖進(jìn)行仿真 ? 如果警告和錯誤不為 0,要改正錯誤直至全部正確 ? 通過左邊的“放大”“縮小”,可檢查波形是否正確。 “秒”仿真波形 仿真結(jié)果(清零) 仿真結(jié)果(全程) 仿真結(jié)果( 1小時處) 仿真結(jié)果(零點處) 五、實驗系統(tǒng)簡介 CPLD主芯片及引腳 CPLD主芯片及引腳 引出接線端口標(biāo)號 位置 對應(yīng)EPM7128S 的引腳 電特性 PIN12~31 適配器左側(cè) PIN12~31 15個 I/O PIN33~52 適配器下側(cè) PIN33~52 16個 I/O PIN54~74 適配器右側(cè) PIN54~74 16個 I/O PIN75~81, 4~11 適配器左上 PIN75~81, 4~11 13個 I/O CLK1 CLK2 適配器左上 83, 2 CLK1 CLK2 全局時鐘 CLRn 適配器左上 1 RESET 全局清除 OE1n 適配器左上 84 OE 全局使能 時鐘源 ? 六路單獨時鐘(4MHz~1Hz) ? 按頻率范圍高低排列為: CLK0> CLK1> CLK2= CLK4> CLK3=CLK5 輸入輸出器件接口 靜態(tài)邏輯高、低電平 主板中間偏上(主芯片接口板上方)的“ Low‖、 ―High‖提供“ 0”、“ 1”邏輯電平。 8位七段數(shù)碼管 共陰極數(shù)碼管,字形輸入端為 a, b, c, d, e, f, g, Dp。對應(yīng)七個段位和一個小數(shù)點,高電平有效。 [SEL2, SEL1,SEL0]譯碼后確定哪一位數(shù)碼管被點亮。 編程接口 主板左上角有一 10個腳的 JTAG IN 插座,為編程接口。通過下載電纜與計算機的并口相連。 數(shù)據(jù)下載
點擊復(fù)制文檔內(nèi)容
教學(xué)課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1