freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于fpga的智能交通燈的設計畢業(yè)論文-資料下載頁

2025-08-18 15:34本頁面

【導讀】本文主要介紹十字路口交通燈控制器的設計。重點介紹了控制系統(tǒng)各部分的設計,以及各個模塊之間的同步。為了克服交通信號燈控制系統(tǒng)傳統(tǒng)設計方法的弊端,更加適應城鎮(zhèn)交通。統(tǒng)電路簡單、運行可靠、易于實現(xiàn),可實現(xiàn)對交通信號的控制和顯示功能。以及人文等社會經(jīng)濟諸多學科領域。這些方面集成在一起形成一個錯。綜復雜的城市交通大系統(tǒng)。系統(tǒng)問題就必須通過系統(tǒng)方法來解決,解。現(xiàn)各子系統(tǒng)聯(lián)動從而提高整體系統(tǒng)有效運行并實現(xiàn)其目標。

  

【正文】 。我依據(jù)交通燈控制器的要求劃分模塊,之后開始的是單元模塊的設計。我在做這個設計之前對 Quartus II的了解甚少,編寫的程序也是到處有錯,只有邊編譯邊改程序。將每個單元模塊完成之后再 進行仿真,仿真過程也是困難重重。有時候設置的時鐘頻率過高,違反了 setup/hold時間;有時候設置的 END TIME過高,出現(xiàn)了仿真時間太長的問題。諸如此類的問題很多,通過自己查找資料和反復摸索,最終解決了問題。 各個模塊仿真成功之后,我開始編寫頂層文件。在編寫頂層文件時我也遇到了不少困難,各個模塊的連接以及信號的定義老是出現(xiàn)錯誤,經(jīng)過反復修改才成功。 本設計基于 VHDL硬件描述語言編程,在確立總體預期控制功能的前提下,分模塊進行描述,其中所用到的數(shù)據(jù)均可依實際情況而設置, 16 修改方便靈活。目標器件為 FPGA芯片,運用層次化設計方法,完成各個模塊的連接,實現(xiàn)了十字路口車輛的自動控制。 VHDL程序在 Quartus II環(huán)境下 編譯通過,功能仿真正確,將編程文件下載到 FPGA芯片后實現(xiàn)了自動控制功能。本設計具有設計過程簡化,設計周期短,工作穩(wěn)定、性能可靠等優(yōu)點,打破了傳統(tǒng)的自下而上的設計方法,體現(xiàn)了基于 FPGA技術和 VHDL語言進行 數(shù)字系統(tǒng)設計的優(yōu)越性和廣闊的前景。 城市交通路口情況較為復雜,除十字路口之外,還有“ T”型路口和圓形路口等類型的路口,本文僅簡單分析了十字路口。且由于實驗板上的 LED 數(shù)碼管和邏輯狀 態(tài)指示管數(shù)目有限,在設計十字路口交通燈控制器時,沒有考慮左拐彎功能。該功能可通過在十字路口的每個方向各放置一個左拐信號燈和一組 LED數(shù)碼管( 2 個)來實現(xiàn)。 參考文獻 [1] 易銘. PLC控制的交通信號燈控制系統(tǒng)的設計 [J].工業(yè)控制計算機, 2020, 16(12): 43— 45. [2] 劉煥成,劉智勇.多單片機系統(tǒng)及分組式交通信號燈控制器 [J].電子技術, 2020(8):15一 19. [3] 蔣璇 ,臧春華 .數(shù)字系統(tǒng)設計與 PLD技術 [M]. 北京:電子電子工業(yè)出版社, 2020 [4] 徐志軍,王金 明,伊廷輝等 .EDA技術與 VHDL設計 [M]. 北京: 電子工業(yè)出版社, 2020:19.趙艷華,曹丙霞,張睿.基于 Quartus II的 FPGA/ CPLD設計與應用 . 北京: 電子工業(yè)出版社, 2020:30— 58. [5] 侯伯亨. VHDL硬件描述語言與數(shù)字邏輯電路設計 [M].西安:西安電子技大學出版社,1999: 42— 77. [6] 邢建平,曾繁泰 .VHDL程序設計教程. 3版.北京:清華大學出版社, 2020:45— 46. [7] 徐春嬌.基于 VHDL狀態(tài)機設計的智能交通控制燈 [J].國外電子元器 2020(2): 31— 35. 17 [8] 張順興. 數(shù)字電路與系統(tǒng)設計 [M].南京:東南大學出版社, 2020: 30— 45. [9] 楊暉,張風言.大規(guī)??删幊踢壿嬈骷c數(shù)字系統(tǒng)設計 [M].北京:北京航空航天大學出版社, 1998: 173— 188. [10] 蔡軍,曹慧英.智能交通燈控制系統(tǒng)的設計與實現(xiàn) [J].重慶 :郵電學院學報, 2020,16(3): 129— 132. [11] 田瑞利,陳海濱.基于 VHDL有限狀態(tài)機的交通信號燈控制系統(tǒng)設計 [J].廣州航海高等??茖W校學報, 2020, 15(3)31— 33. [12] 劉欲曉,方強,黃宛寧等 . EDA技術與 VHDL電路開發(fā)應用實踐 [M].北京:電 子電子工業(yè)出版社 ,2020: 127— 131. [13] 曹昕燕,周鳳臣,聶春燕 .EDA技術實驗與課程設計 [M].北京:清華大學出版社, 2020:108— 115. 譚會生等 .EDA技術基礎 [M].長沙:湖南大學出版社, 2020: 113— 116. [14] Altera Corporation. 2020. Altera Digital Library. Altera. Mark Zwolinski. Digital System Design with VHDL [M]. 北京: 電子工業(yè)出版社, 2020:11— 18. 18 附錄: ibrary ieee。 use 。 use 。 entity count5 is port( clk : in std_logic。 enable: in std_logic。 c : out std_logic。 q :out std_logic_vector(2 downto 0))。 end count5。 architecture a of count5 is signal fp:std_logic_vector(24 downto 0)。 signal f:std_logic。 signal data: std_logic_vector(2 downto 0):=101。 begin process(clk) begin if(clk39。event and clk=39。139。) then if fp=1011111010111100001000000 then fp=0000000000000000000000000。 19 f=not f。 else fp=fp+1。 end if。 end if。 end process。 process(f) begin if(f39。event and f=39。139。) then if enable=39。139。 and data0 then data=data1。 else data=101。 end if。 end if。 if data=0 then c=39。139。 else c=39。039。 end if。 end process。 20 q=data。 end a。
點擊復制文檔內容
環(huán)評公示相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1