freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的鍵盤(pán)掃描電路設(shè)計(jì)_畢業(yè)論文設(shè)計(jì)-資料下載頁(yè)

2024-08-25 14:07本頁(yè)面

【導(dǎo)讀】應(yīng)用,而且它的應(yīng)用非常廣,當(dāng)然鍵盤(pán)掃描的方法也有很多種。鍵盤(pán)是單片機(jī)系統(tǒng)設(shè)計(jì)中一。操作變得更為簡(jiǎn)單、方便、快捷,很大程度上提高系統(tǒng)綜合性能。隨著信息產(chǎn)業(yè)和微電子技。術(shù)的發(fā)展,很多系統(tǒng)設(shè)計(jì)技術(shù)已經(jīng)成為信息產(chǎn)業(yè)最熱門(mén)的技術(shù)之一,在很多地方上都有應(yīng)用,比如航空航天、醫(yī)療保健、通訊、廣播、工業(yè)、測(cè)量測(cè)試等很多熱門(mén)領(lǐng)域。形圖,才完成了鍵盤(pán)掃描電路的程序設(shè)計(jì)。檢測(cè)電路、按鍵抖動(dòng)消除電路和鍵盤(pán)編碼電路。本次設(shè)計(jì)主要是設(shè)計(jì)一個(gè)4×4矩陣式的鍵。在生活中是這種小型的計(jì)算器是非常常用的,雖然體型很小,但卻被廣泛的使用,

  

【正文】 測(cè)電路中 按鍵檢測(cè)電路在整個(gè)設(shè)計(jì)系統(tǒng)中的作用是檢測(cè)是否有按鍵按下的作用,檢測(cè)到?jīng)]有按下按鍵時(shí), key_pressed為 1,當(dāng) key_pressed為 0時(shí),檢 測(cè)到有按鍵按下。定義的端口程序是 : entity jiance is port( col : in std_logic_vector(3 downto 0)。keybord column state scan_t : in std_logic_vector(3 downto 0)。keybord scan location row : out std_logic_vector(3 downto 0)。keybord row state key_pressed : out std_logic)。key_pressed0 unkey_pressed1 end jiance。 在整個(gè)按鍵檢測(cè)電路中, col和 scan_t為輸入端口, row和 key_pressed為輸出端口。程序運(yùn)行結(jié)果如圖 : 圖 按鍵抖動(dòng)消除電路中 在檢測(cè)到有按鍵按下的時(shí)候,這個(gè)過(guò)程中,被按到的按鍵必然會(huì)產(chǎn)生抖動(dòng),所以我們就要設(shè)計(jì)一個(gè)能消除按鍵抖動(dòng)的電路,消除不必要的誤差,按鍵抖動(dòng)消除電路實(shí)際上是一個(gè)倒數(shù)計(jì)數(shù)器電路。定義的端口程序是 : library ieee。 use 。 use 。 use 。 entity xiaodou is port( 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 21 key_pressed : in std_logic。 key_pressed? clk : in std_logic。 clock for synchrony scan_f : in std_logic。 1khz clock key_valid : out std_logic)。key_valid? end xiaodou。 在按鍵抖動(dòng)消除電路中, key_pressed、 clk和 scan_f為輸入端口,只有一個(gè)輸出端口是 key_valid。程序運(yùn)行結(jié)果如圖 : 圖 鍵盤(pán)編碼電路中 鍵盤(pán)編碼電路在整個(gè)掃描電路中是一個(gè)編碼的功能,而 0~15只是鍵盤(pán)位置的代號(hào),并不是鍵盤(pán)上真正的按鍵值,所以要設(shè)計(jì)一個(gè)鍵盤(pán)編碼電路,而且在更換不同鍵盤(pán)時(shí),由于按鍵值 的不同可以進(jìn)行適當(dāng)?shù)母淖?,用?lái)實(shí)現(xiàn)此電路的功能。定義的端口程序是 : library ieee。 use 。 use 。 use 。 entity bianma1 is port( scan_t : in std_logic_vector(3 downto 0)。keybord scan count clk , key_valid : in std_logic。 bianma : out std_logic_vector(3 downto 0))。button code end bianma1。 以上程序是在鍵盤(pán)編碼電路中, scan_t、 clk 和 key_valid為鍵盤(pán)編碼電路的輸入東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 22 端口, bianma為按鍵編碼的輸出端口。 architecture behavior of bianma1 is begin bianma1:process(clk) begin if(clk39。event and clk=39。139。) then if (key_valid=39。139。) then case scan_t is encoding when 0000= bianma =0001。 1 when 0001= bianma =0010。 2 when 0010= bianma =0011。 3 when 0011= bianma =1100。 c when 0100= bianma =0100。 4 when 0101= bianma =0101。 5 when 0110= bianma =0110。 6 when 0111= bianma =1101。 d when 1000= bianma =0111。 7 when 1001= bianma =1000。 8 when 1010= bianma =1001。 9 when 1011= bianma =1110。 e when 1100= bianma =1010。 a when 1101= bianma =0000。 0 when 1110= bianma =1011。 b when others = bianma = 1111。 f end case。 以上程序是在鍵盤(pán)編碼電路中, 16 個(gè)按鍵的編碼方式,當(dāng)二進(jìn)制碼為“ 0000”時(shí),輸出為“ 1” ,當(dāng)二進(jìn)制為“ 0001”時(shí),輸出為“ 2” ,以此類推,得到 16個(gè)值,但這 16個(gè)值只是按鍵上的代號(hào)。程序運(yùn)行結(jié)果如圖 : 圖 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 23 總結(jié) 本次設(shè)計(jì)描述了 基于 VHDL 的鍵盤(pán)掃描電路設(shè)計(jì) ,主要是利用 VHDL 硬件描述語(yǔ)言,在MAX+PLUSⅡ設(shè)計(jì)平臺(tái)下進(jìn)行設(shè)計(jì)、編程、 查找錯(cuò)誤、進(jìn)行修改,直到最后的仿真通過(guò)。本次設(shè)計(jì)主要是學(xué)會(huì)運(yùn)用 VHDL的硬件描述語(yǔ)言,最重要的事一些最基本的語(yǔ)法的錯(cuò)誤,特別是不容易注意的小錯(cuò)誤,往往會(huì)困擾很久,同時(shí)還有一些基本知識(shí)的掌握,同時(shí)重新復(fù)習(xí)了數(shù)電模電,還有一些算法,鍛煉了我思考問(wèn)題、解決問(wèn)題、文字表達(dá)能力,都有了進(jìn)一步的提高。 通過(guò)基于 VHDL的鍵盤(pán)掃描電路設(shè)計(jì),不但收獲了新的知識(shí),并且多學(xué)了一門(mén)編程語(yǔ)言,同時(shí)還鞏固了原來(lái)都差不多忘記的知識(shí),總體來(lái)說(shuō)收獲還是很大的,在設(shè)計(jì)期間,遇到了很多問(wèn)題,有一段時(shí)間都做不下去了,就放下了一段時(shí)間沒(méi)有動(dòng)過(guò),導(dǎo) 致的是越來(lái)越不想做了,后來(lái)在指導(dǎo)老師馮老師的鼓勵(lì)下,又重新拿起了這次的畢業(yè)設(shè)計(jì),老師不斷的鼓勵(lì)是我前進(jìn)的動(dòng)力,我每天都在堅(jiān)持著做一點(diǎn)再做一點(diǎn),在期間也遇到了很多的困難,開(kāi)始還很笨拙,老師說(shuō)新手怎么可能不出錯(cuò),就這樣堅(jiān)持著,后來(lái)就慢慢的熟練了很多,再后來(lái)就沒(méi)當(dāng)初的那么難了,在指導(dǎo)老師馮老師的幫助下最后終于順利的完成了這次的畢業(yè)設(shè)計(jì)。 最開(kāi)始還想著畢業(yè)設(shè)計(jì)肯定很難,不可能完成之類的問(wèn)題,現(xiàn)在想想也不是多難的,通過(guò)本次畢業(yè)設(shè)計(jì),不僅讓我收獲了書(shū)本上的知識(shí),還明白了做人的道理,有些事你不去做,永遠(yuǎn)也不可能完成,相反 你抱著肯定要完成的目標(biāo),最后實(shí)現(xiàn)的都不會(huì)離當(dāng)初所想的差的太多,所以人生一定要有目標(biāo),不然你就不會(huì)有動(dòng)力和信心。 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 24 致謝 在整個(gè)設(shè)計(jì)的過(guò)程中,最應(yīng)該感謝的是我的指導(dǎo)老師馮老師給了我很大的幫助,感謝她對(duì)我的耐心教導(dǎo)和細(xì)心的照顧。 起初 從論文的選題、 論文 框架的設(shè)計(jì)、 目錄 結(jié)構(gòu)的布局、 程序運(yùn)行 , 并且 從內(nèi)容到格式,從標(biāo)題到標(biāo)點(diǎn), 馮老師 都費(fèi)盡心血。沒(méi)有 馮 老師的辛勤栽培、孜孜教誨,就沒(méi)有我論文的順利完成。 沒(méi)有她,我可能這次都沒(méi)有勇氣來(lái)答辯,是她的鼓勵(lì)讓我有了來(lái)答辯的信心,最終通過(guò)了答辯老師的考核,順利的完成了大學(xué)中的畢業(yè)論文 設(shè)計(jì)。在整個(gè)論文設(shè)計(jì)的過(guò)程中,還應(yīng)該謝謝我的同學(xué)對(duì)我的幫助,以及那些給過(guò)我?guī)椭娜?,我在這里表示最真誠(chéng)的感謝 。 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 25 [參考文獻(xiàn) ] [1]樓然苗 .單片機(jī)課程設(shè)計(jì)實(shí)例指導(dǎo) [M].北京:電子工業(yè)出版社 .2020. [2]江思敏 .VHDL數(shù)字電路及系統(tǒng)設(shè)計(jì) [M].北京:機(jī)械工業(yè)出版社, . [3]何立民 .單片機(jī)中級(jí)教程 —— 原理與應(yīng)用 [M].北京: 航空航天大學(xué)出版社 , 2020. [4]姜雪松,劉東升 .硬件描述語(yǔ)言 VHDL教程(基礎(chǔ)篇) [M].西安:西安交通大學(xué)出版社, [5]江國(guó)強(qiáng) ..EDA技術(shù)與 應(yīng)用 .第三版 [M], .北京:電子工業(yè)出版社, 2020. [6]張丕狀,李兆光 .基于 VHDL的 CPLD/FPGA開(kāi)發(fā)與應(yīng)用 [M].北京:國(guó)防工業(yè)出版社, 2020:39. [7]辛春燕 .VHDL硬件描述語(yǔ)言 [M].北京:國(guó)防工業(yè)出版社 , [8]康萬(wàn)新 .畢業(yè)設(shè)計(jì)指導(dǎo)及案例剖析 [M].北京:清華大學(xué)出版社, [9]路勇 .電子電路實(shí)驗(yàn)及仿真 [M].北京清華大學(xué)出版社 。北京交通大學(xué)出版社 , [10]徐麗萍 .電子綜合電路設(shè)計(jì)與安裝調(diào)試 [M].北京:中國(guó)勞動(dòng)社會(huì)保障出版社, 2020. [11]胡振華 . VHDL與 FPGA設(shè)計(jì) [M].北京:中國(guó)鐵道出版社 , [12]康華光 .數(shù)字電子技術(shù)基礎(chǔ)(第五版) [M]. 北京: 高等教育出版社, . [13]趙鑫等 .VHDL與數(shù)字電路設(shè)計(jì) [M].北京:機(jī)械工業(yè)出版社 , [14]Michael Petronino, Ray Bambha, James Carswell, and Wayne Bvrleson. ANFPGABASED DATA ACQUISITION SYSTEM FOR A, 95 GHZ WBAND of Electrical and Computer Engineering MA 01003: 41054108 [15]東方人華 .MAX+PLUSⅡ入門(mén)與提高 [M]. 北京:清華大學(xué)出版社 .. [16]張丕狀,李兆光 .基于 VHDL的 CPLD/FPGA開(kāi)發(fā)與應(yīng)用 [M].北京:國(guó)防工業(yè)出版社,2020:216. [17] Petterson, Michael A. MATRIX KEYBOARD ENCODER CIRCUIT. [18]Michael Petronino, Ray Bambha, James Carswell, and Wayne Bvrleson. ANFPGABASED DATA ACQUISITION SYSTEM FOR A, 95 GHZ WBAND of Electrical and Computer Engineering MA 01003: 41054108 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 26 附錄 分頻器電路的程序 library ieee。 use 。 use 。 entity fpq100to1 is generic (osc_f : integer := 3686 。 osc_bit : integer := 12)。 generic (osc_f : integer := 100 。 osc_bit : integer := 7)。for testing port( clk : in std_logic。 clock scan_f : out std_logic。 q : buffer std_logic_vector((osc_bit1) downto 0) )。 1khz end fpq100to1。 architecture behavior of fpq100to1 is begin scan_freq : process(clk) begin if(clk39。event and clk=39。139。)then if q=99 then q = q + 1 。 else q =0000000 。 end if。 else q = q。 end if。 end process scan_freq。 scan_f =39。139。 when q=1100100。 end behavior。 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 27 鍵盤(pán)掃描計(jì)數(shù)器電路的程序 library ieee。 use 。 use 。 use 。 entity smjsq is port(clk : in std_logic。clock scan_f : in std_logic。1khz clock key_pressed : in std_logic。detect key_pressed to stop counter scan_t : out std_logic_vector(3 downto 0))。count end smjsq。 architecture behavior of smjsq is signal qscan : std_logic_vector(3 downto 0)。 begin scan_1:process(clk,scan
點(diǎn)擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1