freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的ps2鼠標(biāo)接口設(shè)計(jì)及vga顯示word格式(編輯修改稿)

2024-12-23 21:56 本頁(yè)面
 

【文章內(nèi)容簡(jiǎn)介】 data_high_3 狀態(tài),將數(shù)據(jù)線拉高,等待鼠標(biāo)返回應(yīng)答信號(hào)。若 PS/2 時(shí)鐘信號(hào)下降沿來(lái)臨時(shí),數(shù)據(jù)線仍未變?yōu)楦唠娖?,則進(jìn)入m2_error_no_ack 狀態(tài),此時(shí)握手失敗,系統(tǒng)將保持 m2_error_no_ack 狀態(tài)直到下一次復(fù)位,否則進(jìn)入 m2_await_response 狀態(tài)接收應(yīng)答字,接收完成進(jìn)入 m2_verify 數(shù)據(jù)校驗(yàn),然后進(jìn)入 m2_use 狀態(tài),鎖存輸出數(shù)據(jù),并進(jìn)入 m2_wait 狀態(tài),等待接收數(shù)據(jù)。當(dāng)檢測(cè)到時(shí)鐘下降 沿后進(jìn)入 m2_gather 狀態(tài),接收鼠標(biāo)數(shù)據(jù)包,接收完成進(jìn)入 m2_verify狀態(tài),此時(shí)便形成了數(shù)據(jù)接收循環(huán) [5][6][7]。 在上述的理論基礎(chǔ)上,首先編寫 VHDL 硬件實(shí)現(xiàn)流程圖,然后用 VHLD 語(yǔ)言在 QuartusⅡ軟件下 編寫程序,并進(jìn)行綜合和時(shí)序仿真,最后得到 PS/2 接口模塊,如圖 、 所示。 PS/2 接口的 VHDL 硬件實(shí)現(xiàn)流程圖見(jiàn)附錄 1,PS/2 接口電路的 VHDL 語(yǔ)言見(jiàn)附錄 2。 FPGA VGA 顯示 PS/2 圖 PS/2接口模塊 圖 PS/2接口模塊仿真時(shí)序圖 VGA 顯示模塊 VGA 顯示模式要求:行 、場(chǎng)同步都為負(fù)極性,即都是負(fù)脈重。所以在設(shè)計(jì)顯示模塊的時(shí)候要注意時(shí)序驅(qū)動(dòng)和 VGA 信號(hào)的電平驅(qū)動(dòng),其行、場(chǎng)掃描時(shí)序如表 、 所示 [3] 表 行掃描時(shí)序 行同步頭 行圖像 行周期 對(duì)應(yīng)位置 Tf Ta Tb Tc Td Te Tg 時(shí)間 8 96 40 8 640 8 800 表 場(chǎng)掃描時(shí)序 行同步頭 行圖像 行周期 對(duì)應(yīng)位置 Tf Ta Tb Tc Td Te Tg 時(shí)間 2 2 25 8 480 8 525 當(dāng) HS 和 VS都等于 0的時(shí)候, CRT 顯示的內(nèi)容為亮,這個(gè)過(guò)程為正向掃描。當(dāng)一行掃描完成的時(shí)候, CRT 掃描產(chǎn)生消隱,電子束回到 CRT左邊下一行的起始位置,依次進(jìn)行掃描 ,其 VGA 顯示模塊如圖 ,源代碼見(jiàn)附錄 4。 圖 VGA顯示模塊 系統(tǒng)頂層文件 由以上兩個(gè)模塊: PS/2 接口模塊和 VGA 顯示模塊,根據(jù)方案圖封裝成系統(tǒng)所需的頂層模塊就得到系統(tǒng)所需的頂層文件。如圖 。 圖 系統(tǒng)頂層模塊 功能實(shí)現(xiàn) 在得到系統(tǒng)頂層模塊后,先進(jìn)行全程編譯,并進(jìn)行時(shí)序仿真,然后對(duì)芯片進(jìn)行配置,最后將編譯產(chǎn)生的 SOF格式文件下載到 FPGA 芯片中進(jìn)行硬件測(cè)試。 6 結(jié)束語(yǔ) 畢業(yè)設(shè)計(jì)是培養(yǎng)我們綜合運(yùn)用所學(xué)知識(shí),發(fā)現(xiàn)、提出、分析和解決實(shí)際問(wèn)題,鍛煉實(shí)踐能力的重要環(huán)節(jié),是對(duì)我們實(shí)際工作能力的具體訓(xùn)練和考察過(guò)程。隨著 EDA 技術(shù)發(fā)展的日新日異, EDA 已經(jīng)成為現(xiàn)代電子技術(shù)中必不可少的技術(shù), 在生活中可以說(shuō)得是無(wú)處不在。因此作為電子專業(yè)的學(xué)生來(lái)說(shuō)掌握 EDA 的開(kāi)發(fā)技術(shù)是十分重要的。 我本次設(shè)計(jì)的題目是 基于 FPGA的 PS/2 鼠標(biāo)接口設(shè)計(jì)及 VGA顯示 ,對(duì)于我們這些實(shí)踐中的新手來(lái)說(shuō),這是一次考驗(yàn)。這次畢業(yè)設(shè)計(jì)讓我學(xué)到很多很多的東西,學(xué)會(huì)了怎么在遇到問(wèn)題時(shí)去解決問(wèn)題。不僅鞏固了以前所學(xué)過(guò)的知識(shí),而且學(xué)到了很多在書本上所沒(méi)有學(xué)到過(guò)的知識(shí),掌握了一種系統(tǒng)的研究方法,可以進(jìn)行一些簡(jiǎn)單的編程。通過(guò)這次課程設(shè)計(jì)使我懂得了理論與實(shí)際相結(jié)合是很重要的,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來(lái),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握 得不夠牢固。 這次畢業(yè)設(shè)計(jì)設(shè)計(jì)通過(guò)我的努力終于順利完成了,在設(shè)計(jì)中遇到了很多問(wèn)題,最后在導(dǎo)師的辛勤指導(dǎo)下,終于迎刃而解,在此我表示感謝! 附錄 1: PS/2 接口的 VHDL 硬件實(shí)現(xiàn)流程圖 附錄 2: 設(shè)計(jì)流程圖 初始化 判斷鼠標(biāo)是否正確應(yīng)答 接收數(shù)據(jù) 輸出并傳給 VGA 復(fù)位 是 否 附錄 3: PS/2 接口電路的 VHDL 語(yǔ)言源程序 [5] library IEEE。 編寫設(shè)計(jì)說(shuō)明書 建立 PS/2 行為模型 建立 VGA驅(qū)動(dòng)行為 行為仿真 行為仿真 RTL 級(jí)建模 RTL 級(jí)建模 前端功能仿真 PS/2 功能仿真 邏輯綜合 測(cè)試向量表 功能仿真 結(jié)構(gòu)綜合 門級(jí)時(shí)序仿真 下載并硬件測(cè)試 設(shè)計(jì)完成 use 。 use 。 use 。 entity mouse is Port ( clk : in std_logic。 reset : in std_logic。 ps2_clk : inout std_logic。 ps2_data : inout std_logic。 left_button : out std_logic。 right_button : out std_logic。 mousex: buffer std_logic_vector(9 downto 0)。 mousey: buffer std_logic_vector(9 downto 0)。 data_ready : out std_logic。 rx_read_o error_no_ack : out std_logic )。 end mouse。 architecture Behavioral of mouse is constant TOTAL_BITS : integer :=33。 數(shù)據(jù)包位數(shù) constant WATCHDOG : integer :=320。 400usec 所需 sys_clk 脈沖數(shù) constant DEBOUNCE_TIMER : integer := 2。 type m1statetype is ( m1_clk_h, m1_falling_edge, m1_falling_wait, m1_clk_l, m1_rising_edge, m1_rising_wait)。 type m2statetype is (m2_reset, m2_wait, m2_gather, m2_verify, m2_use, m2_hold_clk_l, m2_data_low_1, m2_data_high_1, m2_data_low_2, m2_data_high_2, m2_data_low_3, m2_data_high_3, m2_error_no_ack, m2_await_response)。 signal m1_state,m1_next_state : m1statetype。 signal m2_state,m2_next_state : m2statetype。 signal m3_state,m3_next_state : std_logic。 signal watchdog_timer_done : std_logic。命令傳輸超時(shí)標(biāo)志 signal q : std_logic_vector(TOTAL_BITS1 downto 0)。位序列 signal bitcount : std_logic_vector(5 downto 0)。位計(jì)數(shù)器 signal watchdog_timer_count : std_logic_vector(8 downto 0)。 等待時(shí)間 signal debounce_timer_count : std_logic_vector(1 downto 0)。 signal ps2_clk_hi_z : std_logic。 signal ps2_data_hi_z : std_logic。 signal fallsig,risesig : std_logic_vector(2 downto 0)。 signal clean_clk : std_logic。 從 m1 跟隨 ps2_clk 反向輸出 signal rise,n_rise : std_logic。 m1 狀態(tài)機(jī)輸出數(shù)據(jù) signal fall,n_fall : std_logic。 m1 狀態(tài)機(jī)輸出數(shù)據(jù) signal output_strobe : std_logic。 鎖存數(shù)據(jù)到輸出寄存器 signal packet_good : std_logic。 檢查數(shù)據(jù)是否有效 signal x_increment : std_logic_vector(8 downto 0)。 signal y_increment : std_logic_vector(7 downto 0)。 signal mouseyy : std_logic_vector(9 downto 0)。 begin ps2_clk = 39。039。 when ps2_clk_hi_z=39。039。 else 39。Z39。 ps2_data = 39。039。 when ps2_data_hi_z=39。039。 else 39。Z39。 檢測(cè) ps2clk 上升 沿和下降沿 detect_ps2clkfall : process(clk,reset,ps2_clk) begin if reset=39。039。 then fallsig = 000。 elsif clk39。event and clk=39。139。 then fallsig(0) = ps2_clk。 fallsig(1) = fallsig(0)。 fallsig(2) = fallsig(1)。 end if。 end process。 fall = 39。139。 when fallsig=110 else 39。039。 detect_ps2clkrise : process(clk,reset,ps2_clk) begin if reset=39。039。 then risesig = 000。 elsif clk39。event and clk=39。139。 then risesig(0) = ps2_clk。 risesig(1) = risesig(0)。 risesig(2) = risesig(1)。 end if。 end process。 rise = 39。139。 when risesig=001 else 39。039。 m2 狀態(tài) m2statech: process (reset, clk) begin if (reset=39。039。) then m2_state = m2_reset。 elsif (clk39。event and clk=39。139。) then m2_state = m2_next_state。 end if。 end process。 m2 狀態(tài)傳輸邏輯 m2statetr: process (m2_state, q, fall,rise,watchdog_timer_done,bitcount,ps2_data,packet_good) begin 輸出信號(hào)的缺省值 ps2_clk_hi_z = 39。139。 ps2_data_hi_z = 39。139。 error_no_ack = 39。039。 output_strobe = 39。039。 case m2_state is when m2_reset = 復(fù)位后向鼠標(biāo)發(fā)送命令字 m2_next_state = m2_hold_clk_l。 when m2_wait = if (fall=39。139。) then m2_next_state = m2_gather。 else m2_next_state = m2_wait。 end if。 when m2_gather = if ((watchdog_timer_done=39。139。) and (bitcount=TOTAL_BITS))then m2_next_state = m2_ve
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1