freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的ic卡電話(huà)計(jì)費(fèi)系統(tǒng)的設(shè)計(jì)(編輯修改稿)

2024-12-14 01:35 本頁(yè)面
 

【文章內(nèi)容簡(jiǎn)介】 pwrite=39。039。 reset_ena=39。139。 else if(money(3 downto 0)0110) then money(3 downto 0)=money(3 downto 0)+4。 if(money(7 downto 4)/=0) then money(7 downto 4)=money(7 downto 4)1。 else money(7 downto 4)=1001。 money(11 downto 8)=money(11 downto 8)1。 end if。 else money(3 downto 0)=money(3 downto 0)6。 end if。 長(zhǎng)話(huà)計(jì)時(shí) if(ptime(3 downto 0)=9) then ptime(3 downto 0)=0000。 if(ptime(7 downto 4)=9) then ptime(7 downto 4)=0000。 ptime(11 downto 8)=ptime(11 downto 8)+1。 else ptime(7 downto 4)=ptime(7 downto 4)+1。 end if。 第 8 頁(yè) else ptime(3 downto 0)=ptime(3 downto 0)+1。 end if。 warn=39。039。 pwrite=39。139。 reset_ena=39。039。 end if。 when others= 撥打的是特殊號(hào)碼時(shí),不計(jì)費(fèi)用 money=money。 ptime=ptime。 end case。 else pwrite=39。039。寫(xiě)完一次卡 end if。 else ptime=000000000000。 warn=39。039。 reset_ena=39。039。 pwrite=39。039。 end if。 end if。 end process。 監(jiān)測(cè)余額是否足夠 process(clk) begin if(clk39。event and clk=39。139。) then if(warn=39。139。) then temp=temp+1。 else temp=0。 end if。 if(temp=15) then cut=39。139。 第 9 頁(yè) temp=0。 pcut=39。139。 end if。 reset_ena 保證用戶(hù)在余額足夠時(shí)不會(huì)因干擾而掉話(huà) if(card=39。039。 or reset_ena=39。039。 or state=39。039。) then cut=39。039。 temp=0。 end if。 end if。 end process。 with card select dispmoney = money when 39。139。, 000000000000 when 39。039。 disptime= ptime。 pwarn=warn。 end IC_Core。 該模塊的程序包含 3 個(gè)進(jìn)程模塊,第一個(gè)進(jìn)程是一個(gè) 60 進(jìn)制計(jì)數(shù)器,在時(shí)鐘周期的上升沿觸發(fā),主要用于判 斷是否計(jì)滿(mǎn)一分鐘,以便開(kāi)始讀寫(xiě) IC 卡,對(duì)其進(jìn)行扣費(fèi)和計(jì)時(shí)。 第 二 個(gè)進(jìn)程是一個(gè)整個(gè)通話(huà)計(jì)費(fèi)器的主要進(jìn)程, 其在時(shí)鐘周期的下降沿觸發(fā), 它主要完成在用戶(hù)插卡并撥通電話(huà)后,根據(jù)用戶(hù)所進(jìn)行的話(huà)務(wù)種類(lèi)進(jìn)行相應(yīng)的扣費(fèi),并計(jì)算用戶(hù)的通話(huà)時(shí)間。另外,最主要的是還能實(shí)時(shí)監(jiān)測(cè)用戶(hù)卡內(nèi)余額是否足以 繼續(xù)進(jìn)行通話(huà),如果余額不足,將 warn 信號(hào)置 1,即發(fā)出告警信號(hào)提醒用戶(hù),并將在 15 秒后強(qiáng)行切斷通話(huà)。另外此進(jìn)程里面還包括當(dāng)用戶(hù)插入卡后,便開(kāi)始讀取用戶(hù)卡內(nèi)余額并裝載到系統(tǒng)進(jìn)行初始化,還包括對(duì)余額充足的情況下對(duì)正常通話(huà)進(jìn)行保護(hù) (reset_ena 信號(hào) )。 第三個(gè)進(jìn)程是用于當(dāng)用戶(hù)余額不足時(shí),系統(tǒng)發(fā)出提示信號(hào)后, 在一定時(shí)間后便 開(kāi)始強(qiáng)制中斷通話(huà)。 源程序中,輸入信號(hào) clk 是標(biāo)準(zhǔn)系統(tǒng)時(shí)鐘信號(hào); card 信號(hào)為用戶(hù)插卡信號(hào),這里為了便于說(shuō)明,可將其一直設(shè)置為有效狀態(tài) —— ‘1’; state 信號(hào)為電話(huà)接通信號(hào),同樣的將其設(shè)置為一直有效; decide 信號(hào)為用戶(hù)當(dāng)前撥打的話(huà)務(wù)種類(lèi),這里假設(shè)用戶(hù)當(dāng)前撥打的電話(huà)類(lèi)型是“長(zhǎng)途電話(huà)” ,decide=“ 10”,另外假設(shè)用戶(hù)的IC 卡上當(dāng)前擁有 3 元的余額,即設(shè)置 remaining=“ 030”。在此條件下對(duì)計(jì)費(fèi)計(jì) 第 10 頁(yè) 時(shí)模塊進(jìn)行波形仿真 ,其結(jié)果如圖 32 所示。 (a) 計(jì)費(fèi)計(jì)時(shí)模塊的波形仿真圖 (b) 計(jì)費(fèi)計(jì)時(shí)模塊的元件符號(hào)圖 圖 32 IC 電話(huà)計(jì)費(fèi)系統(tǒng)的計(jì)費(fèi)計(jì)時(shí)模塊仿真 如圖 32(a)所示,用戶(hù)通話(huà)前其卡內(nèi)初始余額為 3 元,當(dāng)用戶(hù)摘機(jī)后并撥 通 電話(huà),即 card=1, state=1,由于當(dāng)前用戶(hù)撥打的是長(zhǎng)途電話(huà),即每通話(huà)一分鐘需要扣除 元的話(huà)費(fèi),因此當(dāng)通話(huà)時(shí)間計(jì)滿(mǎn)一分鐘后,即系統(tǒng)在時(shí)鐘周期上升沿發(fā)出一個(gè)讀 read 信號(hào),在下降沿時(shí)發(fā)出一個(gè)寫(xiě) write 信號(hào),便開(kāi)始對(duì)用戶(hù)的 IC卡進(jìn)行讀寫(xiě)扣費(fèi), 當(dāng)通話(huà)到一定時(shí)間后,用戶(hù)卡內(nèi)余額不足 元時(shí),系統(tǒng)便開(kāi)始發(fā)出告警信號(hào) warn=1 提醒用戶(hù),如果用戶(hù)仍然不掛機(jī)或者繼續(xù)通話(huà),系統(tǒng)將在數(shù)個(gè)脈沖周期后強(qiáng)制切斷本次通話(huà),即 cut=1。 由于用戶(hù)卡內(nèi)只有 3 元,如果用戶(hù)撥打的是長(zhǎng)途電話(huà) ( 元 /分鐘 ),故用戶(hù)一共只能通話(huà) 5 分鐘,因此其仿真結(jié)果是正確的。 第 11 頁(yè) 譯碼顯示模塊 該模塊主要用于將用戶(hù)的卡值余額信息和當(dāng)前通話(huà)時(shí)間通過(guò) LED 數(shù)碼管實(shí)時(shí)的顯示出來(lái)。由于 8 位數(shù)碼管需要采用動(dòng)態(tài)掃描顯示,以便不同的各個(gè)數(shù)碼管顯示的數(shù)據(jù)各自不同,因此該模塊需要包含 數(shù)據(jù)選擇器、 38 譯碼電路 、模 8 計(jì)數(shù)器 、 LED 顯示譯碼器 4 個(gè)子模塊。顯示模塊框圖如圖 33 所示。 圖 33 顯示模塊的系統(tǒng)方框圖 ① 在外部時(shí)鐘 clk_1k 的作用下,八進(jìn)制計(jì)數(shù)器的輸出從 000 到 111 依次循環(huán)變化,輸出信號(hào)為三位數(shù)據(jù)寬度的 sel,信號(hào) sel 經(jīng)過(guò) 38 譯碼電路選通一個(gè) LED數(shù)碼管,同時(shí)它還作為數(shù)據(jù)選擇器的選擇信號(hào),用來(lái)選擇對(duì)應(yīng)位的數(shù)據(jù)并將其轉(zhuǎn)換為 4 位矢量,最后將數(shù)據(jù)選擇器的輸出 dout[3..0]送到 七 段顯示譯碼電路的輸入端口,將其轉(zhuǎn)換成用來(lái)點(diǎn)亮 LED 數(shù)碼管的 de_segment 信號(hào)。 8 進(jìn)制計(jì)數(shù)器的VHDL 代碼 ()如下: library ieee。 use 。 use 。 entity IC_count8 is port(clk_1k: in std_logic。 sel: out std_logic_vector(2 downto 0))。 end IC_count8。 architecture count8 of IC_Count8 is signal sel_tmp: std_logic_vector(2 downto 0)。 beg
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1