【正文】
lay issignal dig_temp:std_logic_vector(3 downto 0)。 when0111=led_dig=10000111。 when0011=led_dig=01001111。 end case。 when0111=led_dig=00000111。end Behavioral。ARCHITECTURE ONE OF MEASURE ISSIGNAL X,N,H,M,L:INTEGER。 PLAY_L=CONV_STD_LOGIC_VECTOR(L,4)。END receive。 THEN TIM_COU=TIM_COU+1。 END IF 。 TIM:IN UNSIGNED(11 DOWNTO 0)。 1k ELSIF T=176 and T294 THEN COUNT_SUM=25。event and clk_100K=39。end process。 B= NOT B。END ONE。 THEN IF COUNTCOUNT_SUM THEN COUNT=COUNT+1。 end if。process(clk_100K) variable t:integer range 1 to 25000。BEGINCMP:PROCESS(TIM) BEGIN T=CONV_INTEGER(TIM)。use 。039。 THEN if ECHO=39。ENTITY receive ISPORT(CLK_10U,ECHO:IN STD_LOGIC。 PLAY_H=CONV_STD_LOGIC_VECTOR(H,4)。 PLAY_H,PLAY_M,PLAY_L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) )。end process。 when0101=led_dig=01101101。 when1001=led_dig=01101111。 when0001=led_dig=00000110。 when0101=led_dig=11101101。 led_sel_out:out std_logic_vector(3 downto 0))。 end Behavioral。139。 temp_counter_1KHz=not temp_counter_1KHz。architecture Behavioral of freq_div is signal temp_counter_1KHz:std_logic。library IEEE。 END PROCESS。SIGNAL COUNT:SUB_IN。 LIBRARY IEEE。i:=2。end scanner。U7:switch1 PORT MAP(CLK_100KHz,SWITCH_N,T,BUZZ_N)。 BUZZ: OUT STD_LOGIC )。 led_dig:out std_logic_vector(7 downto 0)。ponent scanner is port(clk_in:in std_logic。signal T,M:UNSIGNED(11 downto 0)。entity system is port ( clk_in ,ECHO_N,SWITCH_N: in std_logic。附錄HCSR04工作原理:六、課設(shè)感悟本次課程設(shè)計的最后結(jié)果能準確實現(xiàn)最初設(shè)計的諸多功能,在實時性和穩(wěn)定性上也良好表現(xiàn)。此擴展功能模塊,有很大的設(shè)計自由度。接下來傳給顯示模塊。以分頻模塊提供的100KHZ為時鐘,周期為10微秒。再者,每次發(fā)射接收數(shù)據(jù)所需時間要保證兩個方面:第一,發(fā)射周期要保證大于返回高電平持續(xù)的兩倍;第二,數(shù)據(jù)刷新速度要適應人眼視覺感官。采用“計數(shù)取反”的方法進行分頻。 PERIPHERAL ”特權(quán)同學“開發(fā)板(SFCY4),晶振(25MHZ),撥碼開關(guān)4個,共陰極數(shù)碼管(LG3641AH),蜂鳴器,按鍵等。用以實踐在課堂上所學知識內(nèi)容,加深理解。我本次課設(shè)選題為:基于FPGA的超聲波測距電路和其擴展應用。三、可行性分析 要實現(xiàn)以上所描述的功能,我們可以從三方面進行可行性分析: FPGAFPGA型號為EP4CE6E22C8N,其Total logic elements 為6272,滿足大多數(shù)開發(fā)要求。VHDL語言可以調(diào)用的IEEE庫,其中常用的包含大多數(shù)數(shù)學運算需求和數(shù)據(jù)的類型轉(zhuǎn)換,在此提出類型轉(zhuǎn)換資料,方便今后的使用四、模塊化建構(gòu) 根據(jù)功能要求可以分析需要建立的模塊如下圖:接下來進行逐步分析構(gòu)建:板子提供的晶振為25MHZ,而其他有關(guān)時鐘的模塊,考慮人眼視覺暫留,觸發(fā)時間和所需精度要求,可以選取時鐘頻率分別為100KHZ和1KHZ。不然會出現(xiàn)返回信號混疊引起計算錯誤。再考慮人眼適應性,經(jīng)過調(diào)試取N為12000。也相當于4