freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的超聲波測距電路和其擴(kuò)展應(yīng)用eda技術(shù)課程設(shè)計(更新版)

2025-07-31 13:44上一頁面

下一頁面
  

【正文】 )。 PLAY_H,PLAY_M,PLAY_L:OUT STD_LOGIC_VECTOR(3 DOWNTO 0) )。signal led_sel_temp:std_logic_vector(3 downto 0)。library altera。 ,比如高觸發(fā)還是低觸發(fā)等。具體模塊代碼見附錄,最終結(jié)構(gòu)化效果如右。首先,對12位寬度的二進(jìn)制進(jìn)行轉(zhuǎn)換,是指變成能進(jìn)行運(yùn)算的整數(shù)類型。本模塊以1KHZ位時鐘信號,產(chǎn)生“1110”,“1101”,“1011”,“0111”,四個數(shù)碼管選擇信號,完成數(shù)碼管掃描的功能(數(shù)碼管為低選通,當(dāng)使用高選通時把上面對1和0進(jìn)行取反)。,所以 綜合起來,N最小為5299。另外,發(fā)射觸發(fā)后需要等待接收到信號并進(jìn)行處理再發(fā)射下一次觸發(fā),才能保證系統(tǒng)實時性。到達(dá)某特定標(biāo)準(zhǔn)區(qū)間就產(chǎn)生一個特定頻率信號給蜂鳴器,使之發(fā)出特定頻率。但此次課設(shè)使用FPGA用硬件描述語言來構(gòu)建電路是對FPGA本身功能和各模塊使用學(xué)習(xí)的提升。關(guān)鍵字:FPGA ;Quartus II;超聲波測距;倒車?yán)走_(dá);VHDL目錄一、需求分析 3二、功能描述 3三、可行性分析 3 3 3 4四、模塊化建構(gòu) 4 4 5 5 5 6 6 6五、編程下載 6編程 6下載 6六、課設(shè)感悟 7附錄 8一、需求分析:本次課程設(shè)計要求用PFGA和所學(xué)VHDL知識進(jìn)行設(shè)計具有某種具體功能的電路系統(tǒng)。 超聲波測距是一般的電路系統(tǒng),在生活中有很多應(yīng)用,比如倒車?yán)走_(dá)和掃地機(jī)器人自動避障等。 超聲波測距模塊 網(wǎng)上所購得超聲波測距標(biāo)準(zhǔn)模塊HCSR04。 探測距離2cm450cm“計數(shù)分頻”是指計數(shù)N個取反一次邏輯信號會產(chǎn)生周期為2N的頻率信號,達(dá)到分頻目的。第一個方面得不到保證會影響實時性。本模塊可以完成對ECHO的高電平持續(xù)時間計數(shù)。顯示模塊有兩個總線輸入,一個是顯示選通信號(四位),另一個是數(shù)據(jù)輸入信號(三個四位信號,對應(yīng)高位,中位,低位)。 設(shè)計輸入為12位寬度的原始計數(shù),在此先進(jìn)行整型轉(zhuǎn)換。最終實驗板能顯示米、分米和厘米位,數(shù)碼管精度為2厘米,實時顯示,并且蜂鳴器擴(kuò)展出的“倒車?yán)走_(dá)”功能,能使蜂鳴器在不同距離區(qū)間響出不同的頻率的聲音,總體是距離越小,頻率越高。 (1)主要技術(shù)參數(shù): 使用電壓:DC5V; 靜態(tài)電流:小于2mA; 電平輸出:高5V; 電平輸出:底0V; 感應(yīng)角度:不大于15度; 探測距離:2cm450cm 7:; 接線方式,VCC、trig(控制端)、echo(接收端)、GND。 TRIG_N,BUZZ_N: out std_logic。ponent freq_div is port(clk_in_25MHz:in std_logic。 led_sel:out std_logic_vector(3 downto 0))。 led_sel_out:out std_logic_vector(3 downto 0))。 END COMPONENT。end Behavioral。architecture Behavioral of scanner isbeginprocess(clk_in)variable i:integer。 when 2=led_sel=1011。USE 。BEGIN COM:PROCESS(CLK_10U) BEGIN IF CLK_10U39。 LUA:PROCESS(COUNT) BEGIN IF COUNT=0 THEN TRIG=39。use 。signal temp_counter_100KHz:std_logic。 end if。)then if(t1124)then t1:=t1+1。library IEEE。end display。 when0110=led_dig=11111101。 when0010=led_dig=01011011。 when others=led_dig=01111111。 when0110=led_dig=01111101。led_sel_out=led_sel_in。END ENTITY。 PLAY_M=CONV_STD_LOGIC_VECTOR(M,4)。 TIM:OUT UNSIGNED(11 DOWNTO 0))。139。 THEN TIM=CONV_UNSIGNED(TIM_COU,12)。ENTITY SWITCH1 ISPORT(CLK_100K,SWITCH:IN STD_LOGIC。 IF T=294 AND T=589 THEN COUNT_SUM=50。beginif(clk_100K39。 clk_out=temp_counter。 ELSE COUNT=0。
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1