【正文】
end ponent。 flag:in std_logic。 signal clk1Hz : std_logic。 signal flag1: std_logic。 i_rom2: rom2 PORT MAP(clock = clk25MHz, address = romaddr1, q =rgb2)。 use 。 htout : out std_logic_vector(9 downto 0)。 else ht = (others = 39。039。 else hs = 39。139。 g=39。 ROM 地址及圖片運動控制模塊 library ieee。 yy: in std_logic_vector(8 downto 0)。 architecture one of mid is signal ht : std_logic_vector(9 downto 0)。 signal ty: std_logic_vector(8 downto 0)。 vt = vtin。 elsif((httx)and (httx + wide )) then qout_temp=qin。 end if。039。 variable z,d,e: integer。 code is when x01D = o:=o+1。d 100 when others = null。)then d:=d+1。 if(key3=39。e:=e+1。 else y:=yd。139。 if(y=1) then m=39。 end one。 ps/2 鍵盤數(shù)據(jù)輸入 keycode: out std_logic_vector(7 downto 0)。 signal kbclkreg :std_logic。 kbclkfall=kbclkreg and (not kb_clk)。and dataing=39。039。039。039。 t=t+1。) then if (t=8) then if (shiftdata=11110000) then 接收到斷碼的“ F0” ,則表示有鍵彈起,否則表示有按鍵按下 keycode(7 downto 0)=shiftdata(7 downto 0)。 else flag=39。 課程設計圖片 。 keycode(7 downto 0)=shiftdata(7 downto 0)。event and clk=39。 shiftdata(7 downto 1)。 t=t+1。139。 t=0000。) then if (kbclkfall =39。139。 signal dataing :std_logic。 系統(tǒng)時鐘輸入 kb_clk: in std_logic。 ty=y。139。 end if。039。039。e:=e1。) then if(key1=39。a 973 when x023 = o:=0。 ) then case 000amp。 end process。) then if(clk_count 1100110111111110011000000)then clk_count = clk_count + 1。 if (( vt ty)and ( vt ty+long))then if((httx1)and (httx+2)) or ((httx + wide2 )and (httx + wide+1 )) then qout_temp=100。 end if。 constant long: integer:=128。 signal clk_count: std_logic_vector(24 downto 0)。 romaddr_control : out std_logic_vector(13 downto 0) )。 qin : in std_logic_vector(2 downto 0)。 end process。 else r=39。039。 this is hs pulse process(clk) begin if (rising_edge(clk)) then if((ht= 640+8+8) and (ht640+8+8+96 )) then hs = 39。 this is Vertical counter process(clk) begin if (rising_edge(clk)) then if (ht = 640+8 ) then if(vt 525) then vt = vt + 1。 vtout = vt。 b : out STD_LOGIC。 VGA 顯示模塊 library IEEE。 end process。 signal tyy : std_logic_vector(8 downto 0)。 signal rgb3 : STD_LOGIC_VECTOR(2 downto 0)。 qout : out std_logic_vector(2 downto 0)。 qout : out std_logic_vector(2 downto 0)。 q : OUT STD_LOGIC_VECTOR(2 downto 0) )。 ps/鍵盤鍵值輸出 flag: out std_logic )。 hs, vs : OUT STD_LOGIC。 ENTITY img IS port ( clk50MHz ,clk1,clk2 ,data: IN STD_LOGIC。 4.體會與感受 在為期三周的課程設計中,進一步鞏固了曾經(jīng)所學的 EDA 相關知識,并且第一次了解學習了 VGA 相關原理。出現(xiàn)了圖片顯示紊亂的錯誤。點陣數(shù)據(jù)程序直接編寫,不額外添加 ROM 存儲。end if。039。e:=e+1。 按鍵 控制模塊 按鍵 控制采用的電平觸發(fā)機制, 1Hz 左右的掃描頻率,適合于人們的使用習慣。j:=j1。( FLAG 按下為 0,彈起為 1。因此僅僅只需要對鍵盤的按鍵進行通碼讀取并進行相應操作即可。 (ht(6 downto 0)count_temph(6 downto 0))。顯示模塊在 VGA 顯示控制器中起至關重要的作 用。數(shù)據(jù)位在 clock 為高電平時準備好,在 clock 下降沿被 PC 讀入。根據(jù)相應協(xié)議,在“ VGA 時序控制模塊”對行掃描信號 HS 和場掃描信號 VS 進行相應的時序控制(具體控制詳細信息見“ VGA 驅動原理”資料文檔)。 VGA(視頻圖形陣列)作為一種標準的顯示接口得到廣泛地應用。 電子信息工程專業(yè)綜合設計 (報告) (課 程 設 計) 題目 基于 FPGA 的 VGA 圖像 漢字 顯示及PS/2 鍵盤控制設計與應用 二級學院 專 業(yè) 班