freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)基于fpga的vga圖像漢字顯示及_ps_2鍵盤(pán)控制設(shè)計(jì)與應(yīng)用-全文預(yù)覽

  

【正文】 。 signal hpos, vpos : std_logic_vector(9 downto 0)。 signal clk1Hz : std_logic。 signal rgb1 : STD_LOGIC_VECTOR(2 downto 0)。 flag:in std_logic。 htin : in std_logic_vector(9 downto 0)。 end ponent。 htin : in std_logic_vector(9 downto 0)。 ponent mid1 port ( clk : in std_logic。 ponent rom2 圖象數(shù)據(jù) ROM,數(shù)據(jù)線(xiàn) 12 位;地址線(xiàn) 14 位 PORT(clock : IN STD_LOGIC。 ponent imgrom 圖象數(shù)據(jù) ROM,數(shù)據(jù)線(xiàn) 12 位;地址線(xiàn) 14 位 PORT(clock : IN STD_LOGIC。 ps/2 鍵盤(pán)時(shí)鐘輸入 kb_data: in std_logic。 htout, vtout : OUT STD_LOGIC_VECTOR(9 downto 0) )。 ARCHITECTURE modelstru OF img IS ponent vga640480 VGA 顯示控制模塊 PORT(clk : IN STD_LOGIC。 hs, vs : OUT STD_LOGIC。 圖象顯示頂層程序 USE 。 此次課程設(shè)計(jì)師對(duì)我們理論的一次大檢驗(yàn)也是理論運(yùn)用與實(shí)際的完美展現(xiàn)。后檢查發(fā)現(xiàn)并沒(méi)有編寫(xiě)彈起標(biāo)志位,加入 FLAG 變量與通碼一起進(jìn)行運(yùn)算后成功解決。 編寫(xiě)程序時(shí),出現(xiàn)過(guò)模塊無(wú)效的錯(cuò)誤。 后 改用對(duì)圖片坐標(biāo)判斷,然后進(jìn)行XY 運(yùn)算后功能正常,同時(shí) 使得程序更加簡(jiǎn)單易讀 。即 line1, Pixel : integer range 0 to 15。 本模塊實(shí)現(xiàn)方法為對(duì)特定區(qū)域進(jìn)行黑白兩色點(diǎn)陣顯示漢字。對(duì)應(yīng)操作為: KEY1(S1):圖片自動(dòng)移動(dòng)開(kāi)始與加速。)then d:=0。e:=0。end if。 if(key2=39。039。 具體語(yǔ)句為 if(clkm39。 A:圖片右移。j:=j+1。j:=0。 flag amp。 flag 用于對(duì)鍵盤(pán)按下彈起進(jìn)行判斷以避免誤操作。然后由 ROM 內(nèi)存地址控制模塊 產(chǎn)生相應(yīng)操作。本設(shè)計(jì)存儲(chǔ)的是一幅 128*128 的圖片,所以定義了一輸出為 3 位,大小為 128*128=16384 byte 的 rom 內(nèi)存。 count_tempv(5 downto 0)和 count_temph(7 downto 0)同理可以理解其含義。以下通過(guò)一段關(guān)鍵代碼詳細(xì)講解其實(shí)現(xiàn)原理。該模塊以可以 VHDL 語(yǔ)言實(shí)現(xiàn)。 VGA工業(yè)標(biāo)準(zhǔn)要求的頻率是:時(shí)鐘頻率為 ,行頻為 31469Hz,場(chǎng)頻為。因本設(shè)計(jì)只需進(jìn)行控制,所以 PS/2 模塊并未設(shè)計(jì)轉(zhuǎn)碼功能。一般都是由 ps2 設(shè)備產(chǎn)生時(shí)鐘信號(hào)。 PS/2 接口電路的工作原理 PS/2 協(xié)議和接口最初是 IBM公司開(kāi)發(fā)并推廣使用的一種應(yīng)用于鍵盤(pán) /鼠標(biāo)的協(xié)議和接口, PS/2 鍵盤(pán)按鍵接口是 6 芯的插頭與 PC 機(jī)的鍵盤(pán)相連接,插頭、插座定義如圖所示。 圖 工作 原理 VGA 時(shí)序控制 模塊 ROM 內(nèi)存地址控制模塊 ROM 按鍵掃描 控制模塊 50MHz 5Hz addr data 首坐標(biāo) xx、 yy VGA 接口 RGB HS、 VS D/A CRT 根據(jù) VGA 的顯示協(xié)議,可以選擇不同的顯示分辨率。 本文設(shè)計(jì)采用 Quartus II 軟件工具,并以 Altera 公司的 Cyclone 系列 FPGA 的器件 EP1C12F324C8 為主實(shí)現(xiàn)硬件平臺(tái)的設(shè)計(jì)。 關(guān)鍵詞 : VGA; FPGA; VHDL; PS/2 0. 引言 隨著可編程邏輯器件的不斷發(fā)展及其價(jià)格的不斷下降, EDA 開(kāi)發(fā)軟件的不斷完善,可編程邏輯設(shè)計(jì)的應(yīng)用優(yōu)勢(shì)逐漸顯示出來(lái), 特別是大規(guī)??删幊唐骷?。 使用 FPGA設(shè)計(jì)的 VGA顯示控制器具有很高的靈活性,可以根據(jù)其不同的類(lèi)型、尺寸、適用場(chǎng)合特別是不同的工業(yè)產(chǎn)品,做一些特殊的設(shè)計(jì),以最小的代價(jià)滿(mǎn)足系統(tǒng)的要求,而且可以解決通用的顯示控制器本身固有的一些缺點(diǎn)。 VGA(Video Graphics Array)是 IBM在 1987年隨 PS/ 2機(jī)一起推出的一種視頻傳輸標(biāo)準(zhǔn),具有分 辨率高、顯示速率快、顏色豐富等優(yōu)點(diǎn),在彩色顯示器領(lǐng)域得到了廣泛的應(yīng)用。詳細(xì)描述了各硬件模塊的工作原理及實(shí)現(xiàn)途徑,并給出了軟件設(shè) 計(jì)思路及部分代碼。利用 FPGA 芯片和 EDA 設(shè)計(jì)方法,可以靈活地根據(jù)用戶(hù)需求,設(shè)計(jì)出針對(duì)性強(qiáng)的 VGA 顯示控制器,不僅降低了生產(chǎn)成本也可以快速地對(duì)產(chǎn)品 進(jìn)行升級(jí)換代。如圖 1 所示。 “ ROM 內(nèi)存地址控制模塊”根據(jù)“按鍵掃描控制模塊”獲得的圖片顯示首 坐標(biāo) xx、 yy 信息和“ VGA 時(shí)序控制模塊”傳送過(guò)來(lái)的 ht(列計(jì)數(shù)器值 )、vt(行計(jì)數(shù)器值 )及相關(guān)控制信號(hào)計(jì)算出正確的內(nèi)存地址,從 rom 中取出所需要的像素點(diǎn)的三基色數(shù)據(jù)。如果 clock 為高電平,設(shè)備則開(kāi)始向 PC 發(fā)送數(shù)據(jù)。圖 3 所示是按下 Q鍵的時(shí)序圖“ Q”鍵的掃描碼從鍵盤(pán)發(fā)送到計(jì)算機(jī)(通道 A 是時(shí)鐘信號(hào),通道 B是數(shù)據(jù)信號(hào))。對(duì)這 5 個(gè)信號(hào)的時(shí)序驅(qū)動(dòng), VGA 顯示器要嚴(yán)格遵守“ VGA 工業(yè)標(biāo)準(zhǔn)”,即 640*480*60Hz 模式,否則會(huì)損壞 VGA 顯示器。顯示模塊的輸出信號(hào)通過(guò) D/A 轉(zhuǎn)換器的轉(zhuǎn)換連到 VGA 接口,它是控制器和顯示器進(jìn)行通信的橋梁。在本模塊中,通過(guò)在對(duì) ROM 內(nèi)存地址的控制,實(shí)現(xiàn)了圖片顯示功能。 注: romaddr_control 為從 rom 中取數(shù)據(jù)時(shí)所需要的地址,它對(duì)應(yīng)著圖片的沒(méi)一個(gè)像素點(diǎn)的三基色數(shù)據(jù); vt(5 downto 0)和 ht(7 downto 0)分別表示取 vt(9 downto 0)、 ht(10 downto 0)的后 后 8 個(gè)二進(jìn)制位來(lái)做運(yùn)算。 ROM 內(nèi)存模塊 ROM 內(nèi)存中存儲(chǔ)的是圖片的依次行掃描三基色數(shù)據(jù),作為顯示時(shí)送給 VGA顯 示器的 RGB 數(shù)據(jù)。即由 ROM內(nèi)存地址控制模塊讀取鍵盤(pán) DATA 端口數(shù)據(jù)。 flag:in std_logic。加入與運(yùn)算實(shí)現(xiàn)功能) 具體語(yǔ)句為 case 000amp。w when x01B = o:=d1。a when x023 = o:=0。 S:圖片下移。其中按鍵的功能里包括了: 、左右的移動(dòng); ;。) then if(key1=39。end if。e:=e1。)then d:=d+1。039。 (其中 D 為圖片縱坐標(biāo)控制變量, E 為橫坐標(biāo)控制變量 ) 即可完成由開(kāi)發(fā) 板上的 S1~S4 按鍵對(duì)圖片的控制。 KEY4(S4):圖片右移與加速。 本模塊設(shè)置了兩個(gè)變量對(duì)顯示區(qū)域橫縱軸進(jìn)行函數(shù)運(yùn)算。在進(jìn)行思考測(cè)試后,發(fā)現(xiàn)是原來(lái)的由HITCASE 代表圖片撞擊屏幕位置,然后編寫(xiě) CASE 語(yǔ)句對(duì) XY 進(jìn)行改變的運(yùn)算有所欠缺 且十分復(fù)雜,難以尋找錯(cuò)誤 。在對(duì)行場(chǎng)時(shí)序掃描部分查閱資料后 ,對(duì)程序語(yǔ)句進(jìn)行了重新編寫(xiě)后,圖片即顯 示正常。 測(cè)試中,出現(xiàn)過(guò)鍵盤(pán)無(wú)法正常識(shí)別彈起狀態(tài)的錯(cuò)誤。 經(jīng)過(guò)本次課程設(shè)計(jì) ,對(duì) VHDL 語(yǔ)言有了更進(jìn)一步了解,也豐富了一個(gè)電子信息工程學(xué)生的專(zhuān)業(yè)知識(shí),鍛煉了動(dòng)手能力和思辨能力 。 VHDL 與微機(jī)接口設(shè)計(jì) 北京:清華大學(xué)出版社 20xx [4] 林敏 .VHDL 數(shù)字系統(tǒng)設(shè)計(jì)與高層次綜合[ M] .北京:電子工業(yè)出版社, 20xx. [5] 盧毅 .VHDL 與數(shù)字電路設(shè)計(jì)[ M] .北京:科學(xué)出版社, 20xx. [6] 張琴 .向先波 .徐國(guó)率 PS/2鍵盤(pán)在基于 PIC單片機(jī)的遠(yuǎn)程多溫度點(diǎn)巡檢系統(tǒng)中的應(yīng) 用 [期刊論文 ] 機(jī)械與電子 2
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1