freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)基于fpga的vga圖像漢字顯示及_ps_2鍵盤控制設(shè)計(jì)與應(yīng)用-免費(fèi)閱讀

2025-07-04 07:08 上一頁面

下一頁面
  

【正文】 end if。 keycode(7 downto 0)=00000000 。 end if。 end if。 else dataerror=39。and dataing=39。039。 ps/2 鍵 盤 數(shù) 據(jù) 接 收 進(jìn) 程 process(clk) begin if (clk39。 signal flag1 :std_logic。 architecture behave of keyboard is signal dataerror :std_logic。 use 。 end if。039。039。 if (rising_edge(clk)) then z:=z+1。e:=0。 if(key2=39。 if(clkm39。j:=0。 begin if(clkm39。139。 div: process(clk) begin if (clk39。 if((httx) and (httx + wide)) then if ((vt ty1)and (vt ty+2))or (( vt ty+long1 ) and ( vt ty+long+2 ) ) then qout_temp=100。 (ht(6 downto 0)count_temph(6 downto 0))。 signal tempxx: integer range 0 to 640。 signal romaddr_temp : std_logic_vector(13 downto 0)。 qout : out std_logic_vector(2 downto 0)。 use 。039。 process(clk) begin if (rising_edge(clk)) then if(ht=640 and vt=480)then r=rgbin(2)or rgbin1(2)。 end if。 end if。 end if。 architecture ONE of vga640480 is signal ht : std_logic_vector(9 downto 0)。 vs : out STD_LOGIc。 i_mid1 : mid1 PORT MAP(clk = clk25MHz, xx = txx, yy= tyy ,qin1 = rgb2, htin = hpos, vtin = vpos, qout =rgb3,romaddr_control = romaddr1)。139。 signal romaddr2 : STD_LOGIC_VECTOR(13 downto 0)。 signal rgb : STD_LOGIC_VECTOR(2 downto 0)。 key1,key2,key3,key4 : in std_logic。 yy: in std_logic_vector(8 downto 0)。 end ponent。 系統(tǒng)時鐘輸入 kb_clk: in std_logic。 END img。 VHDL 與微機(jī)接口設(shè)計(jì) 北京:清華大學(xué)出版社 20xx [4] 林敏 .VHDL 數(shù)字系統(tǒng)設(shè)計(jì)與高層次綜合[ M] .北京:電子工業(yè)出版社, 20xx. [5] 盧毅 .VHDL 與數(shù)字電路設(shè)計(jì)[ M] .北京:科學(xué)出版社, 20xx. [6] 張琴 .向先波 .徐國率 PS/2鍵盤在基于 PIC單片機(jī)的遠(yuǎn)程多溫度點(diǎn)巡檢系統(tǒng)中的應(yīng) 用 [期刊論文 ] 機(jī)械與電子 20xx(08) [7] 苗新法 .王秀隼 PS/2 鍵盤在嵌入式系統(tǒng)中的應(yīng)用研究 [期刊論文 ] 蘭州交通大學(xué)學(xué) 報 20xx(01) [8] 徐曉 .汪道輝 標(biāo)準(zhǔn) PS/2 鍵盤與單片機(jī)的接口設(shè)計(jì) [期刊論文 ] 中國測試技術(shù) 20xx(01) [9]、 鄭煒 .須文波 .胡晉 單片機(jī)系統(tǒng)中 PS/2 鍵盤驅(qū)動程序設(shè)計(jì) [期刊論文 ] 單片機(jī)與嵌 入式系統(tǒng) 20xx [10] 北京理工大學(xué) ASIC 研究所,《 VHDL 語言 100 例詳解》,清華大學(xué)出版社 ,北京, 1999 :302310 [11] altera 公司 , datasheet of ep1k30,美國 ,1999: 40- 100 6. 附錄(程序、 元件清單 和制作效果 ) 頂層文件 LIBRARY ieee。 測試中,出現(xiàn)過鍵盤無法正常識別彈起狀態(tài)的錯誤。在進(jìn)行思考測試后,發(fā)現(xiàn)是原來的由HITCASE 代表圖片撞擊屏幕位置,然后編寫 CASE 語句對 XY 進(jìn)行改變的運(yùn)算有所欠缺 且十分復(fù)雜,難以尋找錯誤 。 KEY4(S4):圖片右移與加速。039。e:=e1。) then if(key1=39。 S:圖片下移。w when x01B = o:=d1。 flag:in std_logic。 ROM 內(nèi)存模塊 ROM 內(nèi)存中存儲的是圖片的依次行掃描三基色數(shù)據(jù),作為顯示時送給 VGA顯 示器的 RGB 數(shù)據(jù)。在本模塊中,通過在對 ROM 內(nèi)存地址的控制,實(shí)現(xiàn)了圖片顯示功能。對這 5 個信號的時序驅(qū)動, VGA 顯示器要嚴(yán)格遵守“ VGA 工業(yè)標(biāo)準(zhǔn)”,即 640*480*60Hz 模式,否則會損壞 VGA 顯示器。如果 clock 為高電平,設(shè)備則開始向 PC 發(fā)送數(shù)據(jù)。如圖 1 所示。詳細(xì)描述了各硬件模塊的工作原理及實(shí)現(xiàn)途徑,并給出了軟件設(shè) 計(jì)思路及部分代碼。 使用 FPGA設(shè)計(jì)的 VGA顯示控制器具有很高的靈活性,可以根據(jù)其不同的類型、尺寸、適用場合特別是不同的工業(yè)產(chǎn)品,做一些特殊的設(shè)計(jì),以最小的代價滿足系統(tǒng)的要求,而且可以解決通用的顯示控制器本身固有的一些缺點(diǎn)。 本文設(shè)計(jì)采用 Quartus II 軟件工具,并以 Altera 公司的 Cyclone 系列 FPGA 的器件 EP1C12F324C8 為主實(shí)現(xiàn)硬件平臺的設(shè)計(jì)。 PS/2 接口電路的工作原理 PS/2 協(xié)議和接口最初是 IBM公司開發(fā)并推廣使用的一種應(yīng)用于鍵盤 /鼠標(biāo)的協(xié)議和接口, PS/2 鍵盤按鍵接口是 6 芯的插頭與 PC 機(jī)的鍵盤相連接,插頭、插座定義如圖所示。因本設(shè)計(jì)只需進(jìn)行控制,所以 PS/2 模塊并未設(shè)計(jì)轉(zhuǎn)碼功能。該模塊以可以 VHDL 語言實(shí)現(xiàn)。 count_tempv(5 downto 0)和 count_temph(7 downto 0)同理可以理解其含義。然后由 ROM 內(nèi)存地址控制模塊 產(chǎn)生相應(yīng)操作。 flag amp。j:=j+1。 具體語句為 if(clkm39。 if(key2=39。e:=0。對應(yīng)操作為: KEY1(S1):圖片自動移動開始與加速。即 line1, Pixel : integer range 0 to 15。 編寫程序時,出現(xiàn)過模塊無效的錯誤。 此次課程設(shè)計(jì)師對我們理論的一次大檢驗(yàn)也是理論運(yùn)用與實(shí)際的完美展現(xiàn)。 hs, vs : OUT STD_LOGIC。 htout, vtout : OUT STD_LOGIC_VECTOR(9 downto 0) )。 ponent imgrom 圖象數(shù)據(jù) ROM,數(shù)據(jù)線 12 位;地址線 14 位 PORT(clock : IN STD_LOGIC。 ponent mid1 port ( clk : in std_logic。
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1