freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的電子密碼鎖設(shè)計(jì)1-文庫吧資料

2025-07-03 22:57本頁面
  

【正文】 eyin(2 downto 0)amp。 then if key1=39。 and shuru=39。 elsif a=39。shuru=39。 then keyin=0000。 if clr=39。count=000。then shuru=39。 and open1=39。 if rw=39。139。039。 end if。039。keyin=0000。open1=39。beep1=39。green=39。 then red=39。then if reset=39。event and clk=39。end process。 end if。 a=39。139。then if m=9 then m:=0。event and clk=39。beginprocess(clk)variable m:integer range 0 to 10。signal count: std_logic_vector(2 downto 0):=000。139。039。architecture rt1 of lock issignal keyin,password:std_logic_vector(3 downto 0):=0000。 seg:out std_logic_vector(7 downto 0) )。139。 clk,start,ok,reset,rw,clr: in std_logic。use 。use 。本設(shè)計(jì)由密碼鎖顯示顯示模塊、分頻模塊、密碼輸入及校驗(yàn)?zāi)K、報(bào)警模塊、密碼更改與設(shè)置模塊幾部分組成,各模塊分工合作,最后達(dá)到密碼鎖控制器設(shè)計(jì)的要求。輸入正確密碼后 ,鎖打開 ,同時(shí) ,密碼修改控制信號(hào)按鍵rw 置低電平 ,就可直接進(jìn)行修改密碼的操作。此時(shí) ,數(shù)字鎖又自動(dòng)進(jìn)入等待下一次開鎖的狀態(tài)。若在輸入密碼的過程中 ,4 位二進(jìn)制密碼出現(xiàn)輸入錯(cuò)誤 ,那么鎖不能開啟 ,同時(shí) ,指示燈紅燈 亮 ,發(fā)出報(bào)警信號(hào) 。經(jīng)檢驗(yàn) ,輸入的密碼 等于鎖內(nèi)預(yù)先設(shè)置的密碼密碼鎖開啟信號(hào),鎖開啟。若鎖內(nèi)密碼為 “0000” , key2 和 key1 置低電平 ,分別表示輸入 “1” 和 “0” 。該 4 位串行電子密碼鎖設(shè)置 4 位二進(jìn)制密碼 ,要求鎖內(nèi)給定的密碼是可調(diào)的 ,且設(shè)置方便 ,保密性好。串行數(shù)字鎖的報(bào)警方式是點(diǎn)亮指示燈(紅燈) ,并使喇叭鳴叫 ,直到按下復(fù)位開關(guān) ,報(bào)警才停止。在任何時(shí)候按動(dòng)密碼初始化按鍵內(nèi)密碼設(shè)置為程序初始化密碼值(在本模塊程序中此值為 “0000”代碼為 4 位二進(jìn)制數(shù) ,當(dāng)輸入代碼的位數(shù)和位值與鎖內(nèi)給定的密碼一致 ,且按規(guī)定程序開鎖時(shí) ,方可開鎖 ,并點(diǎn)亮開鎖指示燈(緑燈)亮。在這里值得注意的是有一個(gè)密碼“0000”為開始密碼,如果改名密碼了但是又忘記了密碼,重新下載之后的初始密碼只要輸入的密碼為“0000”則都可通過密碼鎖。 密碼鎖控制模塊 該模塊的作用是將輸入的密碼 (暫時(shí)寄存于 ACC中)跟已經(jīng)存儲(chǔ)的密碼(REG中的密碼信息)進(jìn)行對(duì)比,如果一樣,則密碼鎖開鎖。四位一體的七段數(shù)碼管在單個(gè)靜態(tài)數(shù)碼管的基礎(chǔ)上加入了用于選擇哪一位數(shù)碼管的位選信號(hào)端口。反之則不亮。其單個(gè)靜態(tài)數(shù)碼管如下圖所示。(4)密碼修改:輸入密碼正確后4秒內(nèi)按按鍵輸入要設(shè)置和更改的密碼,按按鍵確認(rèn)密碼設(shè)置與更改,則密碼設(shè)置成功4 系統(tǒng)硬件電路 密碼鎖的顯示模塊段數(shù)碼管是電子開發(fā)過程中常用的輸出顯示設(shè)備。(2)密碼校驗(yàn):如果有按鍵按下,直到松開該按鍵;紅綠燈指示門的狀態(tài),也就是密碼校驗(yàn)結(jié)果,如果密碼校驗(yàn)正確,綠亮起,否則如果密碼校驗(yàn)錯(cuò)誤紅燈亮,并鳳鳴器響,表明密碼錯(cuò)誤。 系統(tǒng)總框圖本系統(tǒng)的硬件部分主要由密碼鎖控制電路、密碼鎖顯示電路、LED顯示電路、報(bào)警電路、密碼更改與設(shè)置電路組成。這種設(shè)計(jì)不僅簡(jiǎn)化了系統(tǒng)結(jié)構(gòu),降低了成本,更提高了系統(tǒng)的可靠性和保密性。根據(jù)設(shè)計(jì)要求,決定以FBDA芯片和VHDL語言設(shè)計(jì)此電子密碼鎖。(3)給出完整的系統(tǒng)頂層模塊圖與波形仿真圖。要求:(1)通過查閱相關(guān)技術(shù)資料,詳細(xì)描述電子密碼鎖的基本原理。(3)串行數(shù)字
點(diǎn)擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1