freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于vhdl的出租車計費器設計畢業(yè)論文-文庫吧資料

2025-07-02 12:13本頁面
  

【正文】 , km0 = km0, km1 = km1)。 signal km0 : std_logic_vector(3 downto 0)。 signal min0 : std_logic_vector(3 downto 0)。 signal char2 : std_logic_vector(3 downto 0)。 signal char0 : std_logic_vector(3 downto 0)。 show : out std_logic_vector(7 downto 0))。 km1 : in std_logic_vector(3 downto 0)。 min1 : in std_logic_vector(3 downto 0)。 char3 : in std_logic_vector(3 downto 0)。 char1 : in std_logic_vector(3 downto 0)。 ponent display port(clk : in std_logic。行駛公里輸出個位 km1 : out std_logic_vector(3 downto 0))。等待時間輸出個位 min1 : out std_logic_vector(3 downto 0)。計費輸出十位 char3 : out std_logic_vector(3 downto 0)。計費輸出小數點位 char1 : out std_logic_vector(3 downto 0)。 single : in std_logic。 stop : in std_logic。architecture structural of taximeter is ponent taxi port(clk : in std_logic。位選 show : out std_logic_vector(7 downto 0))。公里脈沖信號 single : in std_logic。計費器啟動 stop : in std_logic。entity taximeter is port(clk : in std_logic。use 。附 錄頂層實體的VHDL編程:library ieee。其次,我要感謝幫助過我的同學們,他們也為我解決了不少難題,同時也感謝學院為我提供了良好的做畢業(yè)設計的環(huán)境。首先要感謝我的指導老師——陳初俠老師,在課程設計上給予我的指導,提供給我的支持和幫助,讓我能把系統做得更加完善。通過這次的論文設計,我對VHDL編程語言有了更深層次的了解,對QuartusⅡ軟件的應用更加的熟練,加強了我的動手能力,使我在理論學習和編程練習方面都有了較大的收獲。在出租車計費器系統的兩個模塊——計費模塊、顯示模塊中,計費模塊是實現系統功能的核心,里面又分為分頻、計時、公里計數、計費等部分;顯示模塊將顯示等候時間,里程和費用。出租車計費器系統的設計已經全部完成,能夠按照預期的效果顯示等候時間、里程和車費數目。圖12 驗證結果2圖12表示汽車行駛25公里,在行駛20公里之后的一段時間內停留4分鐘,符合設計要求。 管腳鎖定在驗證出租車計費器系統的功能之前,需要清楚實驗箱與各個信號之間的對應關系,參照資料得出本設計中各引腳的對應情況如下:圖10 管腳鎖定管腳鎖定后將頂層文件下載到EDA實驗箱芯片中,驗證計費器的功能。 計費模塊的仿真波形圖8(a)圖8(b)圖8(c)圖8均為計費模塊的仿真波形圖,由圖8(a)可看出汽車是單程行駛,圖8(b)可看出汽車在中途暫停了一段時間,在圖8(c)中可直觀地看出汽車行駛了75公里,等候時間為4分鐘。圖5 七段數碼管與向量元素對應表1 七段數碼管段位碼十進制數字段位碼000111111100000110201011011301100111401100110501101101601111101700100111801111111901101111滅00000000描述顯示模塊的VHDL程序見附錄。 模塊display:display_control圖4 顯示模塊顯示模塊用來將計費模塊輸出譯碼,然后輪流掃描數碼管。為此,設計2個時鐘脈沖,公里脈沖和等候脈沖,根據汽車行駛還是等候選擇計費脈沖。20公里是臨界值,在此以后,需要對計數進行討論,因為個位為8或9都可能導致進位。描述系統頂層實體的VHDL程序見附錄。3 出租車計費器的實現 出租車計費器的頂層原理圖圖2 頂層原理圖原理圖中輸入部分分別是clk:系統時鐘信號;mile:公里脈沖信號;single:單程鍵;start:計費器啟動信號;stop:等待信號。若是暫停狀態(tài),計費器開始進行等候時間計數,當等候時間累計1分鐘,則每分鐘折算1公里里程價。若是行駛狀態(tài),計費器開始進行里程計數,當里程超過3公里時,計費器開始累加,計程器則繼續(xù),否則計費器不變。 出租車計費器系統工作流程圖對出租車計費器系統的功能要求進行分析,得到如下工作流程圖:圖1 工作流程圖出租車載客后,啟動計費器,整個系統開始工作,進入初始化狀態(tài),即計程從0開始,計費從8開始。分頻部分是把外部提供的300Hz進行分頻得到系統工作需要的工作脈沖,計費部分包括兩個內容,一個是正常行駛的里程數所產生的費用,另一個是車行中途暫停的時間所產生的費用。 設計思路根據系統的功能要求,分為2個模塊來實現,分別是計費模塊,顯示模塊。要求顯示里程、計費及等候時間。Quartus II 越來越受到數字系統設計者的歡迎,是因為 Quartus II 擁有強大的設計能力和直觀易用的接口,可以在多種平臺上使用,如XP、Linux以及Unix等,具有完善的用戶圖形界面設計方式,運行速度快,功能集中,易學易用等特點[7][8]。Quartus II 內帶有綜合器和仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程,減少了設計者的工作量。 QuartusⅡ簡介Quartus II 是Altera公司的綜合性PLD開發(fā)軟件,可以采用多種輸入方式,如VHDL、Verilog HDL、AHDL(Altera Hardware Description Language)及電路圖等。對于模擬電路而言,VHDL并不是一種理想的硬件描述語言。目前的VHDL很難綜合實際的硬件電路,只能適用于系統建模。(2)不能進行太抽象的系統描述。應該擺脫一般的高級語言程序設計思路,因為在電路世界里的事件很多是并行發(fā)生的,并且硬件電路系統內部的模塊可以是互相獨立的,也可以是互為因果的,所以,在用VHDL設計硬件電路時應擺脫一般的高級語言程序設計思路。但是,VHDL仍然存在一些缺點,主要是3個方面。同時,這些特點也促進了VHDL的發(fā)展和完善。當把用VHDL編寫的代碼文件看作是程序時,它可以作為設計人員之間的交流內容;當把它看作是文檔時,可以作為簽約雙方的合同文本。VHDL的數據類型很豐富,支持標準定義的數據類型,當標準定義的數據類型不能滿足用戶的需求時,用戶可以自己定義的所需要的數據類型,增加了設計的自由度。VHDL可以描述系統級電路和門級電路,而且描述方式多樣,可以采用行為描述、寄存器傳輸描述或者結構描述,也可以用其混合描述方式。VHDL由很多不同的工具支持,同一個設計的程序可以在包括綜合工具、仿真工具、系統平臺等工具中使用。設計完成后,可以根據消耗的資源選擇合適的器件,而不造成資源的浪費。(2)與具體器件無關。一個簡潔的使用VHDL語言編寫的程序就可以描述一個復雜的邏輯電
點擊復制文檔內容
黨政相關相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1