【正文】
某一位為1時,表示選擇該通道接著。end。 sg=b。 end case。 when 1001=b(6 downto 0)=1101111。 when 0111=b(6 downto 0)=0000111。 when 0101=b(6 downto 0)=1101101。 when 0011=b(6 downto 0)=1001111。 when 0001=b(6 downto 0)=0000110。 end process。 when others= null。b(7)=39。 when 111 =a=c3。b(7)=39。 when 110 =a=c2。b(7)=39。 when 101 =a=c1。b(7)=39。 when 100 =a=c0。b(7)=39。 when 011 =a=m1。b(7)=39。 when 010 =a=m0。b(7)=39。 when 001 =a=k1。b(7)=39。 process(t8) begin case t8 is when 000 =a=k0。 end if。139。 begin process(clk) begin if clk39。 signal b :std_logic_vector(7 downto 0)。architecture behav of yima is signal t8:std_logic_vector( 2 downto 0)。 bt: out std_logic_vector(7 downto 0))。 m1,m0,k1,k0,c3,c2,c1,c0 : in std_logic_vector(3 downto 0)。use 。:library ieee。end rt4。 end if。139。 then clk_out=clk_in1。architecture rt4 of kongzhi isbeginprocess(ent0,ent1)begin if ent0=39。 clk_out:out std_logic)。 clk_in1:in std_logic。use 。use 。計費模塊波形仿真圖圖33計費模塊波形仿真圖由圖33可知:輸入一個脈沖信號,同時當start為高時開始計價;c0、ccc3為價格的顯示信號,逢9后一位將進1。end process。 end if。 end if。 end if。 end if。 if c3=1001 then c3=0000。 if c1=1001 then c1=0000。139。 elsif clk239。c1=1000。 then c3=0000。architecture rt3 of jifei isbeginprocess(clk2,start)begin if start=39。 c0,c1,c2,c3: buffer std_logic_vector(3 downto 0))。entity jifei isport(clk2:in std_logic。use 。library ieee。計量模塊波形仿真圖圖32計量模塊波形仿真圖由圖32可知:由于講輸入?yún)?shù)fin一直設為高,將stop一直設為低。end process。 end if。en0=39。 else en1=39。039。139。 if k1amp。 else k0=k0+1。 else k1=k1+1。 then if k0=1001 then k0=0000。 elsif fin=39。039。 else w=w+1。039。139。 if m1amp。else m0=m0+1。else m1=m1+1。 if m0=1001 then m0=0000。139。k0=0000。m0=0000。039。039。 then w=0。 then if start=39。event and clk_240=39。architecture rt2 of jiliang issignal w:integer range 0 to 59。 m1,m0:buffer std_logic_vector(3 downto 0))。 en1,en0:buffer std_logic。 stop: in std_logic。entity jiliang isport( start: in std_logic。use 。library ieee。end qq。end if。039。 else cout1:=cou